基于FPGA的2FSK调制解调的研究

时间:2022-09-04 04:35:38

基于FPGA的2FSK调制解调的研究

摘 要

频移键控(FSK)是经国际电信联盟标准化的一种重要数字调制方式,广泛应用于数据量较小、数据率较低、短距离传输的通信领域。本文对基于fpga2fsk调制解调的设计进行研究,给出软件功能仿真验证。

【关键词】频移键控 调制 解调 现场可编程门阵列

数字调制解调技术是数字通信技术的重要组成部分之一,它一直是通信领域的热点课题,数字调制技术将直接影响数字通信系统的质量。利用数字信号对载波的频率、振幅和相位三个参量进行控制时,数字调制可分为三种基本形式:频移键控(Frequency-Shift Keying,FSK),振幅键控(Amplitude-Shift Keying,ASK),相移键控(Phase-Shift Keying,PSK。实际应用中不同的调制方式形成通信系统的不同体制,从而决定各种通信系统的基本性能。FSK调制在中低速通信系统中得到了广泛的应用,例如CID(Calling Identity Delivery)来电显示,低速的Modem,铁路系统和电力线载波通信。文中介绍了2FSK调制的原理,并基于FPGA设计了2FSK调制解调电路。

1 2FSK基本原理

2 2FSK调制解调的设计及仿真

2.1 FPGA的基本设计流程

FPGA具有功能强大,开发过程投资小、周期短,可反复编程修改,保密性能好,开发工具智能化等特点,正是因为它的这些优点,FPGA 在现代通信系统中正在发挥越来越重要的作用。

FPGA的设计流程就是利用EDA开发软件和编程工具对FPGA芯片进行开发的过程。具体的开发流程一般包括电路设计、设计输入、功能仿真、综合优化、综合后仿真、实现与布局布线、时序仿真与验证、板级仿真与验证,以及芯片编程与调试等主要步骤。文中设计基于Altera公司的cyclone系列芯片,采用QuartusⅡ开发软件。QuartusⅡ支持原理图式图形设计输入,文本设计输入(如ADHL、VHDL、Verilog等HDL语言),及波形输入等方式。常用方式是以HDL语言为主,原理图为辅,进行混合设计以发挥二者各自特色。

2.2 2FSK调制模块设计

2FSK调制方式有模拟调频法和频率调频法,模拟调频法使用二进制数字基带信号控制一个振荡器的参数,直接改变振荡频率,输出不同频率的信号,其原理与模拟调制相同。这种方法容易实现,但频率稳定度差。频率键控法是用数字矩形脉冲控制电子开关在两个振荡器间转换,从而输出不同频率信号的方法。

该设计采用频率键控法,整个电路共分为分频器、m序列产生器、跳变检测、数据选择器正弦信号产生器五个部分,设计框图如图1所示。2FSK调制的仿真图如图2所示, 当基带信号为0,调制信号输出选通载波f0,当基带信号为1,调制信号输出选通载波f1。

2.3 2FSK解调模块设计

解调采用过零点检测法,由于2FSK信号的两种码元的频率不同,所以计算码元中信号波形的过零数目多少,就可以衡量频率的高低,故检出数字调频信号的过零点数即可得到相应的载波频率值,根据已知的载波频率确定基带信号从而判断出基带信号。图3为2FSK解调电路仿真结果,DEPSK为恢复的基带信号形式。

3 结论

本文对2FSK调制解调部分的设计进行了研究和仿真验证,整个设计过程基于采用VHDL语言实现,设计灵活、修改方便,具有良好的可移植性,能更好地满足现代通信系统的要求,比专用芯片具有更大的灵活性和可控性。

参考文献

[1]胡泽文.基于FPGA的FSK调制系统设计[D].北京:电子科技大学,2011(03).

[2]樊昌信.通信原理[M].北京:电子工业出版社,2009.

作者简介

牛学芬(1979-),女,河北省秦皇岛市人。硕士。东现为北大学秦皇岛分校讲师,主要从事信号处理研究。

作者单位

东北大学秦皇岛分校 河北省秦皇岛市 066004

上一篇:探究图书馆中微信服务的实践运用 下一篇:镇赉县职业教育在构建和谐社会中的功能和作用