VHDL在计算机组成原理实验中的应用研究

时间:2022-07-23 04:42:40

VHDL在计算机组成原理实验中的应用研究

Applied Research on VHDL in Experiment of Principles of Computer Composition

Sun Bing; Qi Xiaomei

(China University of Petroleum College of Computer and Communication Engineering,Dongying 257061,China)

摘要: 结合工程实际应用和现代硬件系统的设计方法,应用VHDL对组成原理实验中的主要器件进行逻辑设计,将现有的计算机组成原理实验课程的实验内容、实验方式、实验过程进行设置与优化。在计算机组成原理实验教学环节中引入VHDL及FPGA技术,能够起到促进课程内容学习及培养专业能力的双重效果。

Abstract: Combined with engineering application and design method of modern hardware system, VHDL was used to make logic design for the main component in principles of computer composition to design and optimize experimental content, method, and process of existing experiment course of computer composition principle. The introduction of VHDL and FPGA technology into experimental teaching can both promote to learn course content and cultivate professional ability.

关键词: VHDL语言 实验教学 计算机组成原理

Key words: VHDL Language;experimental teaching;principles of computer composition

中图分类号:TP39 文献标识码:A文章编号:1006-4311(2011)27-0110-02

0引言

计算机组成原理是计算机科学与技术专业的一门骨干课程,也是最重要的专业基础课程之一[1]。本校的计算机组成原理实验课程已经突破传统,引入了较新的FPGA技术,实践证明,引入FPGA技术的组成原理实验课程已经取得很好的效果,但在计算机部件实验过程中多数采用已有的器件,这样就减少了学生对关键器件的设计过程。而VHDL语言的最大特点就是描述能力极强,较其他硬件描述语言有很多优点,给学生充分提供想象和设计空间,灵活使用不同算法来实现电路的逻辑功能,并根据自己所编写的代码进行各种仿真及测试,以达到最优编译效果,这样的实验过程可以更好的激发学生学习和实践的兴趣,进一步提高学生实践能力和创新能力。

1VHDL语言简介

VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。

VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一个设计实体分成外部和内部,外部就是该实体的接口,内部就是该实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内部和外部的概念是VHDL系统设计基本点。

VHDL作为标准化的硬件描述语言并得以广泛应用,具有很多其他硬件描述语言所不具备的优点:①VHDL语言具有强大的语言结构,需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。②VHDL语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路。③VHDL语言具有很强的移植能力,不受设计平台及开发环境的限制。④VHDL语言的设计描述与器件无关,允许采用多种不同的器件结构来实现同一种设计实体。⑤VHDL语言采用基于库(library)的设计方法,设计出的程序易于共享和复用,从而减小硬件电路设计的工作量,缩短开发周期。

2基于VHDL计算机组成原理实验内容的设置与优化

2.1 实验内容的基本设置以往的计算机组成原理实验课的项目主要是计算机部件实验和模型机实验,模型机作为课程设计的主要内容。部件实验主要有运算器、存储器、总线、控制器等16个学时的验证性实验。基于VHDL计算机组成原理实验的实验方式,实验项目可以设置得灵活一些,实验所用的电路可以与现有的教材保持一致,实验类型均改为设计性实验,开发软件采用QUARTUSII,硬件描述语言选用VHDL,要求学生使用VHDL语言设计实验电路,通过仿真进行验证。

2.2 实验内容的优化计算机组成原理实验的目的是让学生能够自己设计CPU,首先让学生清楚CPU各个部件如何工作,以及各个部件之间如何进行数据传输,如何协调配和构成一个完整的CPU。因此,教师必须要设计出一个针对课程并且难度适中的教学用模型机,来贯穿整个教学过程。根据这个简单模型机设计出部件实验的内容见表1。

2.3 实验方式的优化考虑到如果单纯从实验类型,由验证型改为设计型,完成实验对学生的要求提高了,但由于现有的教学大纲并没有针对计算机科学与技术专业学生的硬件描述语言的课程,而且VHDL语言与学生较为熟悉的C语言有较大差距,不是很直观,学生不易掌握。因此,要求学生用VHDL语言设计实验电路中所有代码难度有些偏大。因此,为了保证在有限的实验学时下,能让学生通过实验掌握计算机组成原理课程的相关内容,我们将实验步骤进行调整,可以由教师预先写好实验代码,生成相应的位流文件,让学生先下载所做实验的位流文件进行功能验证,然后再进行相应的代码设计。在代码设计阶段,不要求学生写出所有原代码,在每个实验中,由教师提供设计好的框架,定义要输入、输出端口,让学生完成部件实验中的逻辑设计。这样经过部件实验,学生也可以基本完成计算机组成原理中硬件电路的描述。

3实验过程

文章以计算机组成原理实验中的“加法运算电路实验”为例说明实验过程。

图1是4位二进制加法运算电路实验。电路由一个4位二进制加法运算器,两个数据输入寄存器,一个数据输出寄存器构成。该实验以总线方式进行数据传输,为了使数据传输过程中不发生冲突,在电路中增加了两个三态门,一个用于控制加法器的输出,一个控制外部数据的输入。

加法器是运算电路的核心[2]。计算机中实现减法、乘法和除法最终都要转化成加法来进行运算。本实验中的4位二进制加法器由VHDL语言实现。参考代码如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY ADDER4B IS

PORT(C4:IN STD_LOGIC;

A4:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

B4:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

S4:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CO4:OUT STD_LOGIC);

END ENTITY ADDER4B;

ARCHITECTURE ART OF ADDER4B IS

SIGNAL S5:STD_LOGIC_VECTOR(4 DOWNTO 0);

SIGNAL A5,B5:STD_LOGIC_VECTOR(4 DOWNTO 0);

BEGIN

A5

B5

S5

S4

CO4

END ARCHITECTURE ART;

加法运算器仿真波形结果如图2所示。图中设定的数据是在第2节拍将数据“0001”送到寄存器A,在第4节拍将数据“0011”送到寄存器B,在第6节拍让加法运算结果输出,在第9节拍让带进位的运算结果输出。从总线上数据的变化可以见到,加法运算的结果是“0100”,带进位加法运算结果是“0101”,可见所设计的加法器进行计算的结果是完全正确的。

4结束语

将VHDL应用到现有的计算机组成原理实验课程中,不仅加深了学生对计算机组成原理课程的掌握程度,还能够学到VHDL硬件语言及其先进的开发技术,起到了传统实验方式难以取得的效果;它不受专业实验室的硬件约束,在设计过程中只需要有软件开发环境即可,这种将硬件实验课程“软化”的方法将有着很好的教学前景。同时,这种新型实验模式对实验指导教师也提出了更高要求,实验教师更需要不断充实自己的知识,提高自身的专业素质。

参考文献:

[1]蒋本珊,王娟,马忠梅.“计算机组成原理”课程实践与理论教学体系关联性研究[J].计算机教育,2009,(24):118-119.

[2]姜咏江.PMC计算机设计与应用[M].清华大学出版社.2008.

上一篇:西江流域江海联运的发展现状及展望 下一篇:关于我国大学生思想政治教育人文关怀的未来展...