VHDL与电子自动化

时间:2022-09-07 08:01:11

VHDL与电子自动化

摘 要:伴随着以计算机为设施和基础发展起来的电子自动化技术近年来大战迅速,随着市场经济发展需求的不断提高,越来越朝着更加智能化、自动化、集成化的方向前进,其中VHDL语言作为电子自动化技术设计的一种常用的硬件描述语言,在电子自动化程序的设计上面起着重要的作用,本文就VHDL语言和电子自动化以及他们之间的运用进行简要的介绍,希望有助于相关研究者对此的认识。

关键词:VHDL语言;电子自动化;运用

VHDL是上个世纪八十年代美国国防部资助下创设的,它的创立于当时电子技术的发展满足不了市场经济的发展有着密切的联系,VHDL和电子自动化的结合取决于两者之间的契合度和各自优势的相适应。

1 VHDL概述

1.1 VHDL语言

VHDL语言在上世纪八九十年代成为了硬件描述语言的标准之一,这解决了当时国际上多种描述性语言不能相互融通的难题,被称为超高速电路硬件描述语言,她的构成内似与计算机高级语言,主要是用于描述书籍系统的结构、行为、性能,他与电子自动化相结合,加速了电子自动化技术的适用速率,从电力的开端、电路的合成至电路的仿真等都设计其中,已经成为了一种通用的信息和数据的交换媒介,目前世界上公布的最新的标准版本是IEEE1076-2002版,它的使用极大的提高了产品开发的速率,降低了产品生产的成本,提高了产品的质量和安全性。

1.2 VHDL语言的特点和优势

VHDL语言的程序一般是由实体(ENTITY)、结构体(architecture)、配置(coxnfiguration)、包(package)和库(library)这五部分相互衔接组成的,其中实体和结构是VHDL语言最基本的组成因素,配置的主要作用提取所需要的信息组成该系统的不同规格和版本,包形象的用来承载每一个设计需要的模块类型的存放,库用来价格已经成功编译的实体、结构体和配置等存放起来,其基本的构成如下:

VHDL程序的基本构成

从其构成以及程序的编译中我们可以得出VHDL语言具有以下的特点。首先,VHDL语言的描述功能强大,其形式也是多种多样。VHDL语言将系统行为级、寄存器传输和门级这三个不同层次的设计结合起来,比起之前的硬件描述语言,VHDL语言能够将一个行为描述的额更加具有逻辑性和条理性,这也是它能够成为电子自动化技术应用的硬件描述语言的重要原因所在。其次,VHDL语言拥有强大的库来存放已经编译的实体等,这种设计方式有利于信息和数据的对此利用和资源的迅速共享,在很大程度上减少了设计同样模块或者重复使用相同模块的工作量,降低了设计的周期,提高了生产效率,增加企业的效益。再者,VHDL语言的编译程序和结构简洁具有逻辑性,尤其是关于寄存器、函数、目标选择器、闹钟系统设计、窗口搜索算法、移位指令等的程序编写有着很强的规律性,知晓其中的规律对于学好和运用这门语言举足轻重,这也就意味着他的可读性好,能够被计算机识别也能够很快的让人们理解它所表达的意思,将复杂的逻辑控制设计简单化,灵活方便且利于保存、交流和大量使用。VHDL语言从其结构我们看出它具有足量的库函数以及仿真语句,这就在程序的运行中一旦出现危机或者存在危险的信息,能够及时的做出反映,提前对系统功能的运行状态进行检测,消除错误和危险,在电子自动化中将大规模的集成电路通过具有逻辑的语句进行检查、分析和调整,实现整套程序的畅通。再者,VHDL语言独立于器件,设计人员不需要首先考虑进行器件设计,只需要进行电路的设计和优化,而且它允许多种类型的器件进行混合搭配实现程序设计的目标。但VHDL语言具有优点的同时也具有一定的局限性,并不是最完美的硬件描述语言,他不能够处理一些动态的机构,在有些方面还有待完善,就目前而言却也是较好的厂商选择。

2 VHDL在电子自动化中的运用

2.1 VHDL语言的应用实例

VHDL语言在电子自动化中的使用可能将近100中形式,举个简单的例子,VHDL移位指令的使用,在控制LED流动显示时,其程序的语法结构有以下规律

赋值运算符

赋值运算符用来给信号、变量和常数赋值。

:=用于对VARIABLE,CONSTANT和GENERIC赋值,可用于赋初始值;

=>用于对矢量中的某些位赋值,或对某些位之外的其他位赋值(常用OTHERS表示)。

例:

SIGNAL x:STD_LOGIC;

VARIABLE y:STD_LOGIC_VECTOR(3 DOWNTO 0);C最左边的位是MSB

SIGNAL w:STD_LOGIC_VECTOR(0 TO 7);C最右边的位是MSB

x

y:=“0000”;

w

w‘1’,OTHERS=>‘0’);CLSB位是1,其他位是0

再者,如果想要VHDL中将一个数向左移四位,便可以用这种表达方式:b(3 downto 0):=a(7 downto 4);b(7 downto 4):=(others=>;a(7));这样简洁的语言便可以达到我们的目的。

2.2 VHDL语言和电子自动化的结合

电子自动化技术伴随这计算机的发展近年来发展迅速,在多个领域得到宽广的使用,在电子自动化的应用中,此语言已经成为了主要的描述语言,在较多的厂商中得到认可,但是在使用中依旧需要注意一些问题。

一是我们在使用前一定要熟悉VHDL语言的一些语句的表达和规律,了解它的构造,尤其是对此种语言的性能进行详细的了解,这样才能更好的将VHDL语言和自动化技术联系起来,同时,我们应该牢记VHD语言是基于模拟的语言建设的,它所使用的描述方式和手段都是为了建立专用的模型而非综合性的模型,在与自动化技术的结合中一定要注意两者之间的衔接,尤其是语句的程序设计。

VHDL语言在电子自动化中的应用,创新的将程序代码和计算机设备结合起来,借助语言强大的描述,将设施和程序结合起来促进系统的完整运行,方便掌握和使用,安全性能提高的同时有效的避免了风险的扩大和出现,在电子自动化技术使用的多领域已经得到运用,例如水利工程管理、医疗管理等。

3 总结语

VHDL与电子自动化技术的结合,在电子技术、计算机技术不断发展的今天已经成为了一种必然趋势。两者的结合降低了成本,提噶了效率,缩短了产品的生产周期,虽有不足但我们深信未来科技的改革和进步将一定会将两者得到完美的结合,促进技术的进步。

参考文献

[1] 孙华锦.基于VHDL语言的电子设计自动化及其应用[D].西北工业大学,2001.

[2] 张淼,张晓琦.VHDL与电子自动化[J].辽宁师专学报(自然科学版),2002,02:46-48+100.

[3] 张晓军,解大,陈陈.VHDL语言在电子设计自动化中的应用[J].电力自动化设备,2002,05:32-34.

[4] 夏莉莉.浅议VHDL语言在电子设计自动化中的应用[J].信息安全与技术,2012,07:44-46.

[5] 朱新宇.电子设计自动化实验系统的开发与应用[D].北京邮电大学,2012.

上一篇:刍议建筑给排水设计中节能减排设计研究 下一篇:关于校园卡在数字化校园中的应用与发展