“数字电子技术”实践教学的研究与实施

时间:2022-02-15 09:29:42

“数字电子技术”实践教学的研究与实施

摘要:本文介绍了我院在“数字电子技术”课程的实践教学中的新措施。教学效果表明,软硬兼施的实践教学环节有力地支撑了理论教学,有效地将抽象的理论知识与数字电子技术的实现融会贯通,开拓了学生的创新思维,提高了学生分析问题和解决问题的能力。

关键词:数字电子技术;逻辑电路;实践教学;软件仿真

中图分类号:G642 文献标识码:B

1引言

“数字电子技术”是计算机专业学生必修的一门专业基础课。本课程的主要目的是使学生掌握数字系统分析和设计的基本知识与原理,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机和其他数字系统的硬件分析与设计奠定坚实的基础。

为了使学生能够真正将课本上的理论知识与实际的数字电子技术电路融会贯通,我校“数字电子技术”课程组授课教师在课堂教学的基础上,精心组织、设计该课程的相关实验,让实践教学环节成为理论教学的有力支撑,使学生更好地将理论与实际结合,高效率地吸取本学科的前沿知识。

2实验教学现状

数字电子技术主要包括小、中和大规模数字电路的分析与设计、可编程逻辑器件和现场可编程门阵列器件、数字系统分析与设计。其教学侧重整个电路的逻辑功能及其应用。在以往相当长一段时间内,由于不具备支持大规模实验的设备,数字系统仿真软件也不成熟,因此国内大多高校只基于“SD―2型数字电子技术实验设备”开设了传统的中、小规模电路的实验,均未开设体现现代电子技术的中、大规模电路的实验。这导致本课程的理论不能全面与实验交融,更不能体现现代数字电子技术的核心,显然不利于学生接受该门课的知识,也与计算机技术的发展格格不入。

近年来,多功能专用硬件实验设备的投产给“数字电子技术”课程提供了全方面的基础实验平台,使“数字电子技术”大规模硬件实验的开设具备了基本条件。另外,为了让学生掌握EDA核心技术,一种可行的方式是在PC机上利用VHDL实现硬件功能,仿真其工作过程,根据时间波形图分析、改进和完善逻辑电路。这种软件仿真模式为学生掌握现代技术,适应社会需求提供了极大的帮助。

3实验教学目标

对逻辑变量、逻辑运算、逻辑函数、逻辑电路的感性和理性认知,能描述各种逻辑问题,并基于逻辑设计和物理设计的层次给予实现,这是学生在“数字电子技术”课程中必须达到的水平。为此,授课教师在给学生提供理性认知帮助的基础上,必须精心设计配套的实验课程,让学生通过实践环节透彻感性地掌握教学内容,进而掌握数字逻辑电路的设计与实现方法,以及性能分析、评价的手段。

“数字电子技术”实践教学内容的设计是在验证性实验和开放性课程设计并存、“软硬兼施”的模式下实施的,目标是使学生感性地认识、理解数字逻辑的基本概念、并能灵活运用,还能掌握先进的数字电子技术,实现复杂数字电路的分析和设计。

4实验教学内容

为了使学生全面地了解和掌握数字电子技术,在具体实践中加深对所学理论知识的理解,针对“数字电子技术”课程教学中的重点难点,我们安排了两类实验:基于硬件的验证性实验和基于软件仿真的实验。

4.1硬件实验

硬件实验采用了浙江大学方圆科技有限公司开发生产的“SD-2型数字电子技术教学实验系统”实验箱作为实验平台,用来达到验证性实验的目的。本课程选择开设如下硬件实验。

① 集成逻辑门的测试

实验目的:掌握TTL集成与非门逻辑功能的测试方法;了解TTL与非门主要参数的意义及其测试方法;学习用与非门实现其他逻辑门的逻辑功能。

在这个实验中,要求学生74LS00芯片完成TTL与非门的逻辑功能,高低电压等测试,以及实现与、或、非、异或等逻辑关系,达到感性理解逻辑变量、逻辑运算的目的。

② 利用SSI设计组合逻辑电路

实验目的:掌握用SSI器件设计组合逻辑电路的基本方法;学习用给定的SSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS10等小规模芯片实现一个保密电子锁、产品质检器和一个灯控电路,达到掌握小规模组合逻辑设计的能力。

③ 利用MSI设计组合逻辑电路

实验目的:掌握用MSI器件设计组合逻辑电路的基本方法;学习用给定的MSI器件设计组合逻辑电路。

在这个实验中,要求学生利用74LS138、74LS151和74LS283等中规模芯片实现一个数字判断电路、多功能组合逻辑电路和一个代码转换器,达到掌握中规模逻辑设计的能力。

4.2软件仿真实验

如前所述,数字电子技术的硬件实验只能提供中、小规模电路的设计,而且实用数字逻辑器件的电路一般较复杂,连线繁多,学生在实验中极易出错,且难以及时查错,导致实验效率不高,同时无法实现大规模电路的设计,也不能为学生提供掌握现代数字系统设计技术的条件。为此,我们在硬件实验的基础上采用MAX+PLUSⅡ在PC上进行仿真,实现各种规模的数字电路和简易系统。这既能开拓学生的创新设计能力,又方便可行。具体开设的实验分别在验证性实验的后阶段和开放性课程设计阶段完成,具体来说以下实验①②为实验内容,③④⑤为课程设计内容。

① 移位寄存器

实验目的:掌握软件MAX+PLUSⅡ的安装方法;掌握软件的初步使用方法;掌握原理图输入方法;熟悉FPGA设计流程和相关步骤的主要命令;掌握移位寄存器的逻辑功能及其测试方法;学习移位寄存器的应用。

在这个实验中,要求学生在PC机上用MAX+PLUSⅡ验证74LS194芯片的功能,并用来实现环行脉冲分配器、分频器,达到熟练运用MAX+PLUSⅡ的水平。

② 计数译码显示电路

实验目的:进一步熟悉软件的使用和原理图输入法FPGA设计流程;掌握层次设计方法;掌握中规模TTL集成计数器74LS161的逻辑功能及其应用;学习使用74LS48BCD―7段译码/驱动器和共阴极七段显示器;学习设计计数译码显示电路,并了解其计数、译码显示过程。

在这个实验中,要求学生用层次设计方法,先用74LS00、74LS161和74LS48等芯片实现模10的计数器,再级联扩展成模100的计数器,并用十进制显示,达到能设计中规模时序电路的能力。

③ 数字电子钟的设计

实验目的:熟悉使用VHDL文本输入法设计PLD芯片的流程;学习数字电子钟的秒信号源及秒、分、时、星期计时器的设计;学习秒、分、时、及星期译码,显示电路的设计;掌握对波形图的量化分析方法以及调试技术,提高设计能力。

在这个课程设计实践中,让学生主动学习,开拓创新,在老师的指导下掌握方便的VHDL文本设计方法。

④ 控制器的设计

实验目的:掌握控制器的ASM图描述方法;熟练掌握控制器VHDL文件的建立。

在这个课程设计实践中,学生可以利用各种资料学习现成的程序代码,并加以改进后实现自己确定的控制功能,实现大规模集成电路的设计,达到训练学生收集资料和合理运用资料的能力。

⑤ 十字路通管理器

实验目的:进一步掌握ASM图的应用;编写十字路通管理器的VHDL文件;深入理解层次化描述的基本要领;进一步掌握对时间波形图的量化分析方法。

以上课程设计内容只是学生在选题时的一个启发,抛砖引玉,学生还可以自由发挥,结合实际应用和自己的兴趣确定很多实用有效的选题,并完成相应的任务。

5实验教学效果

实践教学环节的实施表明,“数字电子技术”实验课程很受学生欢迎。以上硬软两部分实验将传统简单的数字电子方法和现代先进的数字电子技术有机地结合起来,让学生既深入理解了成熟的理论,又掌握了现代化数字电路的开发技术。特别是基于软件仿真的课程设计,调动学生的自主创新激情,学生将抽象的理论与实际相结合,对书本的理论知识有了更深入、更具体的认识,并掌握了量化分析方法,最后达到从数字逻辑部件整体功能的角度来研究、评价数字系统的目的,这使得学生在学好“数字电子技术”专业基础课后,为后续的课程学习打下坚实的基础。

 本门课程的实践教学从06级学生开始改革实施。06级学生在“数字电子技术”的实践教学环节中表现出强烈的兴趣和积极性,他们按照要求很好地完成了每一个实验。在调查问卷中,学生们对于“数字电子技术”课程的实践教学给予了高度认可。

调查问卷中也体现出学生对软件仿真实验的兴趣和收获大于硬件实验。经分析,原因在于硬件实验平台对实验内容有一定的局限,没有软件仿真实验那么充分的设计和创新空间,也不如仿真实验那么灵活方便。

6结束语

我校“数字电子技术”课程组在教学中,本着理论结合实践的宗旨,突破传统数字电子技术实验平台的局限,

开设各类规模电路的设计,软硬兼施,提供可行的实验环境,设计可操作的实验内容。实施效果表明它很好地支撑了理论教学,有利于培养学生分析问题和解决问题的能力,开拓了学生的创新思维。

下一步我们将着重研究和设计如何把模拟仿真正确的代码、文档下载到CPLD,使其更有设计性和创新性,为“数字电子技术”课程的教学构建更有利的实验平台。

参考文献:

[1] 欧阳星明. 数字逻辑(第二版)[M]. 武汉:华中科技大学出版社,2005.

[2] 汪国强. EDA技术与应用(第二版)[M]. 北京:电子工业出版社,2007.

[3] 蒋璇,藏春华. 数字系统设计与PLD应用(第二版)[M]. 北京:电子工业出版社,2005.

[4] 童小念. “计算机系统结构”实践教学环节的研究与实施[J]. 计算机教育,2007,(2).

上一篇:面向校企合作的软件工程人才培养模式探讨与实... 下一篇:项目教学模式指导的“数据结构与算法”教学改...