基于MAX+plusII 的LED显示屏设计

时间:2022-10-30 07:53:57

基于MAX+plusII 的LED显示屏设计

摘要:LED显示屏已经被广泛的用在地铁、商场、车站、超市等公共场所,给人们的生活带来了很多方便。本文基于MAX+plusII的基础上设计了LED显示屏,通过软件编程实现数据的控制,仿真结果显示,该设计系统成本低、工作可靠,有一定的应用价值。

Abstract: LED display boards are widely used in many places such as subway, supermarket, station, etc. The system design is based on MAX+plusII ,data control is emploied software programme,simulition result shows the system's characteristic is lower costing, good reliability .It has practical application value.

关键词:显示屏;LED;软件编程

Key words: display boards;LED;software programming

中图分类号:TP31 文献标识码:A文章编号:1006-4311(2010)33-0264-01

1应用背景

LED显示屏在生活中的应用非常普遍,医院、车站等等,很多的公共场合里都是用LED显示,给人们的生活带来了很多的方便。LED有很大的应用能力和潜力,研究如何更好的使用它有很重要的意义。

2设计思想[1]

本设计使用使用超高亮度LED,制作成显示屏,通过软件编程的方法来实现行扫描和列的数据传输。从组成上来说可分为三个部分来分别实现。分别是:显示部分、控制部分和接口部分。如图1所示。显示部分由超高亮度LED制作。控制部分有两部分组成,分别是扫描控制和数据控制。此部分由CPLD芯片来完成。用行扫描和列输出来实现,每次扫描一行。

3部分程序清单[2-3]

扫描部分:

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_arith.all;

use IEEE.std_logic_unsigned.all;

entity scan is

port( clk :in std_logic;

data_o: out std_logic_vector(7 downto 0);

scanline: out std_logic_vector(7 downto 0)

);

……

行扫描部分,每次只扫描一行:

……

process (int)

begin

case int is

when 0 => scanline

when 1 => scanline

when 2 => scanline

when 3 => scanline

when 4 => scanline

when 5 => scanline

when 6 => scanline

when 7 => scanline

when others => scanline

end case;

end process;

……

4仿真结果[4]

利用MAX+plusⅡ仿真结果如图2所示。

5结论

和其他设计系统相比,该系统设计简单,性能稳定。有一定的应用价值。

参考文献:

[1]赵淑晶,周延颖,杨斌.基于CPLD的双屏LCD时序控制器设计[J].微计算机信息,2008,24(12-2):191-195.

[2]李丰林.VHDL语言在PLD编程中的应用[J].淮海工学院学报,2002,11(1):23-26.

[3]王科鸣,许乐平.VHDL语言在数字系统设计中的应用[J].固体电子学研究与进展,1995,15(2):157-163.

[4]赵明富,田泽正,王超,等.CPLD在LED广告屏中的应用研究[J].河南科技学院学报(自然科学版),2008,36(4):65-70.

上一篇:谈新加坡高职教育培养的规划创新 下一篇:论激发学生在大学英语课堂上的积极性