基于FPGA的手持式示波器设计

时间:2022-04-30 10:38:42

基于FPGA的手持式示波器设计

摘 要: 在此设计的低成本手持式示波器是以ADC128S022模/数转换芯片为数据采集前端;使用FPG内双口内建RAM进行数据存储、有限状态机实现示波器的触发控制和显示驱动;最后再用LCD12864液晶模块完成终端的低成本图形显示。在DE0?Nano FPGA (Altera Cyclone IV)开发板上的测试结果表明,所设计的手持式示波器可以实现模拟信号任意电平上升沿或下降沿的触发测量;垂直灵敏度和扫描速度调节、波形参数的直接读出等功能。

关键词: FPGA; 示波器; 液晶显示屏; 有限状态机

中图分类号: TN919?34; TP334 文献标识码: A 文章编号: 1004?373X(2013)10?0106?03

0 引 言

目前,数字存储示波器以其体积小、携带方便、准确率高的独特优势逐步取代了传统的模拟示波器,并向着更为小巧的低成本、便携式应用方向发展。近几年来,许多研究者充分利用FPG上的资源丰富、使用灵活、开发成本低的优点提出了一些数字示波器的虚拟仪器解决方案[1?2]和嵌入式解决方案[3?8],这些设计采用FPG内资源来实现数字示波器的数据存储(RAM)、触发控制、数字信号运算与处理、显示终端驱动等功能,这在很大程度上能够降低示波器成本和复杂度,但是这些设计方案往往会使用到微处理器[3?7](8051/ARM/Nois Ⅱ)作为系统调度和数据处理的核心或采用VGA显示器作为图形输出终端[1,2,8],还不能达到低成本的手持便携使用要求。

LCD12864液晶显示模块具有低压、微功耗、寿命长、超薄等显著优点,比较适合低成本、便携式电子信息产品来实现字符和图形的显示[4]。因此,文中就以LCD12864液晶模块作为数字示波器的低成本图形显示终端;基于FPGA应用技术,设计出了具有模拟信号任意电平、上升沿或下降沿触发;垂直灵敏度和扫描速度调节、波形参数的直接读出特点的低成本手持式数字示波器。

1 手持式示波器的系统设计

2 A/D转换模块

3 双口RAM的读写控制

为此,首先需要把64 b的图形数据按位分成8段分别存储在8个128×8 b的RAM单元中,这样才能保证每个RAM存储单元的读/写数据位宽一致。其次,采集的波形数据需要经过行列数据转置模块,才能做到RAM数据的按行写入。行列数据转置模块采用了流水线结构,在触发启动信号和时钟的共同作用下把采集到的列点阵数据转置成适合LCD屏显示的行数据格式。

4 LCD显示驱动模块

要驱动LCD模块显示正确图形,就需要根据液晶屏的控制时序和用户指令集,设计出正确的有限状态机(FSM)来完成LCD模块的初始化、控制命令和写入数据操作过程;并产生RAM读数据的地址,图4所示就是LCD显示驱动模块的状态迁移。

在LCD模块的状态转换图中,系统上电后,首先进行持续大约0.05 s的自动复位(需要根据时钟频率调整),然后进入LCD模块的初始化过程,因此在状态机中设置有3条条件转换路径来实现LCD屏的工作模式切换:初始化、显示数据和起始行地址写入。同时也在关键路径上设置有可以配置参数的延时——在方便LCD模块的工作调试的同时,使LCD模块一直工作在写屏模式,驱动LCD模块动态实时显示、产生双口RAM的读数据地址。

5 设计验证

实验结果表明,采用LCD12864液晶模块作为手持式示波器的显示终端,虽然显示分辨率较低,可以清楚看到图形的像素点;同时,这也是采用LCD12864屏的独特优点所在——能够根据一个周期波形的像素点数和采样信号频率直接读出被测信号周期,假如采用100 kHz(周期10 μs)的采样率;测得显示屏上的一个完整周期的波形点数是50,那么该被测信号的周期就是500 μs。

6 结 论

文中所设计的以LCD12864模块为图形显示的低成本手持式示波器,最终在DE0_Nano FPGA开发板上的验证结果表明,完全实现了模拟信号的测量;垂直灵敏度和扫描速度调节、波形参数直接读出功能;这不但实现了示波器的廉价和便携,而且还具有被测信号周期直接读出的优点。

参考文献

[1] 冯林,李莉,吴振宇,等.基于FPGA的USB虚拟示波器[J].仪表技术与传感器,2011(3):59?62.

[2] 陈昌鑫,靳鸿,冯彦君,等.数据采集卡和虚拟示波器系统[J].仪表技术与传感器,2012(3):67?69,72.

[3] 石明江,张禾,河道清.基于FPGA的手持式数字存储示波器显示驱动设计[J].液晶与显示,2010,25(5):738?742.

[4] 王庆春,何晓燕.基于FPGA的便携式逻辑分析仪设计[J].电子测量技术,2012,35(10):80?83.

[5] 孙盛坤,丁昊,宋杰.基于FPGA和TFT彩屏液晶的便携示波器设计[J].现代电子技术,2011,34(4):158?162.

[6] 赵贻玖,王厚军,戴志坚.基于NIOS处理器的数字示波表设计[J].仪器仪表学报,2006,27(6):1484?1485.

[7] 赵新颖,罗坤.基于FPGA数字可存储示波器的设计与实现[J].郑州铁路职业技术学院学报,2011,23(3):17?19,28.

[8] 王至秋.基于FPGA控制VGA显示的多通道数字示波器的设计[J].现代电子技术,2011,34(14): 55?57.

[9] 叶芃,王厚军,田书林.手持数字示波表技术研究[J].仪器仪表学报,2002,23(5):144?147.

[10] 石明江,张禾,徐治银.手持式数字存储示波表数据采集系统设计[J].电子技术应用,2011,37(2):88?90.

[11] 赵云,郭庆,罗苏笙,等.基于FPGA的多仪表合一的设计与实现[J].电子科技,2012(8):10?12.

[12] 邢开宇,曹晓曼,方火能.基于FPGA和NAND Flash的存储器ECC设计与实现[J].电子科技,2012(8):70?73.

上一篇:基于SDI接口的实时图像增强显示系统 下一篇:车牌视频跟踪识别系统的设计