150kW调幅广播发射机控制系统的设计与实现

时间:2022-09-05 03:35:46

150kW调幅广播发射机控制系统的设计与实现

摘要:数字频率合成技术是近代通信系统的重要的一个组成部分,在电子系统以及无线电技术中的应用都是非常广泛的。为了满足现代电子技术迅猛的发展,电子系统对信号源的要求指标也变的越来越高,在满足高频率分辨率等基本指标体系的同时也要满足快速变频、低功耗的指标,该文对DDS进行了一些简单的探讨。

关键词:寄存器;FPGA;DDS;D/A

中图分类号:TP311 文献标识码:A 文章编号:1009-3044(2013)17-4130-02

数字频率合成器DDS(Direct Digital Frequency Synthesizer)是多用及专用芯片的形式实现。目前很多公司都自己生产出了具有优越性能的频率合成器的专用的芯片,由于目前一些许多公司自己成产出来的具有专用功能的芯片在大多数使用的情况下都不能够再满足广大的使用者的要求,因而我们现在研究采用大规模集成电路FPGA来进行去实现能够具备有特定功能的数字频率合成器是具有着非常重要的意义。

1 DDS的概述

在现代的工业自动化系统中,往往需要采用一些信号来作为测量的基准信号或者是输出的信号。为了满足现代电子技术迅猛的发展,现代的电子测量技术在对信号频率的精确度和稳定度的要求上已经变得越来越严格。因而对信号源采用直接数字频率合成器DDS(Direct Digital Frequency Synthesizer)来进行实现,以这种方法设计出来的信号源能够满足在调制状态的工作下,能够对输出电平的进行调节。数字频率合成器的基本结构包括:相位累加器、正弦查找表、数模转换器以及低通滤波器。

1.1 频率合成器的基本概念以及主要的技术指标

频率合成器的基本概念就是指从一个或者多个高稳定和准确的参考频率,经过多种技术的处理,生成大量的频率输出。技术处理的方法:可以用传统的硬件实现频率的加、减、乘、除等基本运算,也可以采用锁相技术或者是各种数字技术和计算技术。频率合成可以化分为许多的种类,比如可以分为两大类:非相干合成和相干合成。相干合成又可以化分为(1)直接合成(2)间接合成(3)直接数字频率合成(4)混合式频率合成。频率合成器的基本技术指标包含了六个方面:(1)频率范围(2)频率分辨率(3)频率切换时间(4)谐波抑制和杂散抑制(5)长期频率稳定度(6)短期频率稳定度。

1.2 DDS技术的工作原理和主要特点

正弦输出DDS的原理框图如图1所示。

工作原理为:相位累加器在频率控制字K的控制下,采样频率使用参考的时钟频率,产生的数字线性相位序列为等待合成信号,然后地址码采用相位累加器的高N经过正弦查找表变换以后。产生的M位对应信号波形的数字序列,经过数模转化器以后再经过低通滤波,输出时钟频率。

2 用FPGA实现DDS的设计

FPGA是由可编程逻辑功能块CLB、可编程输入输出模块IOB和可编程内部连线资源PO三部分组成的。大规模集成电路的结构不仅仅能够满足高速信号处理的需求,而且它相较与同样作为高速处理信号的处理器DSP具有着非常大的不同,FPGA芯片在编程语言上比DSP要先进很多,多采用VHDL,Verilog等,使编程相对变得比较容易。FPGA芯片使用与ASIC系统设计所以采用FPGA芯片来实现DDS的设计。DDS是以多用或者专用芯片形式实现,但是DDS有两个主要的缺点:输出的波形的宽带相对比较的狭窄;输出的信号波形的杂散相对比较的严重。而且一旦频率源需要以宽带作为输出时,就会需要极易引起造成杂散恶化的倍频,因此我们往往在使用数字频率合成器进行频率合成技术时,宽带的输出和抑制杂散就是一对相互处于对立面的因素,因此,在DDS研制时,我们就必须考虑到如何对抑制杂散和宽带这两个对立的因素进行恰当的折中,因此,我们不仅仅可以考虑采用增加只读存储器的寻址的地址线,也可以从数据幅度的角度和数模转换器的精度方面,来降低杂散。

我们采用FPGA实现任意波形的发生器的根本是基于DDS的基本原理来实现,所以DDS的几个基本的部分都应当具备。而实现任意波形的关键点在于需要把存放波形量化表的ROM变成可以改写的RAM,这样就可以通过与RAM的接口改变存放在波形RAM中的数据进而实现任意波形的发生。DDS任意波形发生器主要包括:控制部分、波形RAM、数模转换以及控制部分等几个模块。

控制电路主要是由输入寄存器模块和地址分配模块组成,单片机通过不同的地址来选题FPGA的各个模块进行工作。输入寄存器模块的主要功能是接收单片机写入的频率控制字和相位控制字。本设计采用了16位相位控制器和32位相位累加器。时序波形图如图2。

相位累加器多采用流水线结构,这种结构是利用空间换取时间的设计方法,以把电路设计复杂化为代价来提高电路的工作速度,因此流水线累加器要比普通的累加器的结构复杂的多。根据DDS原理我们知道,一个完整的DDS系统在一个相同的信号时钟下工作,输出波形最终的精度和稳定度可以由时钟信号直接能够决定。因此要具有稳定的时钟源。另外,数字信号需要转换成模拟信号,就需要用到D/A转换器,数模转换器具有足够的转换精度和足够快额转换速度是保证数据处理结果准确性的必要要求。

3 系统设计实现以及测试

根据系统方案的确定,PCB设计需要考虑噪声如何降低、干扰的减少以及EMC的问题,DDS模块包含了配置电路、时钟电路、数模转换器以及滤波器。由于信号源是一个数字信号和模拟信号相混合的系统,所以使用的电容包含数字和模拟两部分。数字电路会产生比较大的噪声,模拟部分工作时如果受到这些噪声的影响,模拟部分的工作精度就会受到非常严重的影响,因此如果采取隔离的方法,分开数字和模拟这两部分,让数字和模拟单独进行设计。另外采用去耦技术降低噪声效果也比较好。输入寄存器模块式为了接收单片机写入的相位控制字以及频率控制字,寄存器模块如图3。

寄存器模块当使能信号为高电平时,异步清零也为高的时候,CLK在上跳沿的时候将数据线上数据存储在寄存器模块中,当4个字节的数据锁存完以后,自动将存入的数据按照高低位的顺序依次输出,波形图如图4。

4 综合仿真后系统的性能测试

为了验证所设计的正确性,把综合的数据进行了软件仿真,后期综合后的结构图如图5。

5 总结

由于各个方面客观存在的一些原因该文的研究还有这非常大的不足,但是经过这次认真的研究学习,使我对DDS系统有了更加深刻的了解,我下一步的学习就是如何能够明显的改善加法器的延时时间并能够使速度得到更大的提高。

参考文献:

[1] 邓延安.基于FPGA的数字化调频DDS系统设计[D].合肥:合肥工业大学,2005.

[2] 余成波,杨如民,周登义.数字信号处理及Matlab实现[M].北京:清华大学出版社,2005.

[3] 伊特.FPGA/CPLD应用系统设计与产品开发[M].北京:人民邮电出版社,2005.

[4] 尚勇,刘卫东,吴顺君.FIR滤波器分析与设计[M].西安:陕西科学技术出版社,1994.

[5] 汤少维. 基于FPGA控制的高速数据采集系统设计与实现[D].成都:电子科技大学,2007.

[6] Lee Ferguson.FPGA-based FIR Filter Using Bit-Serial Digital Signal Processing[M].Atmel Corporation, 1995.

上一篇:短波波段宽带10W功放的设计 下一篇:新机房建设中网络安全管理规范性探讨