数字频率计范文

时间:2023-03-12 09:34:31

数字频率计

数字频率计范文第1篇

关键词:AT89C51;数字频率计;CD4020分频器;定时/计数器

中图分类号:TP368 文献标识码:A

频率计数器是测量信号频率的装置,也可以用来测量方波脉冲的脉宽。

数字频率计即DFM-Digital Frequency Meter,也称为数字频率表或电子计数器。它不仅是电子丈量和频率仪器仪表专业范畴中丈量频率与周期,丈量频率比和进行计数、测验的主要仪器,而且要比示波器测频更便利、经济的多,特别是现代电子计数器商品与组件和具有多种功用的数字式频率计,已广泛应用于计算机体系,通讯广播设备,出产过程自动化测控设备带LED、LCD数字显现的多种仪器仪表以及许多的科学范畴。可以说,伴随着数字化技能的开展,电子计算机、通讯设备、音频和视频技能进入科研、出产、军事技能和经济生活范畴,直至家庭和自己,使得电子计数器和测频手段与上述电子设备衔接为寸步不离的技能。

1 系统概述

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。

2 设计要求及方案选择

2.1 设计任务和要求

设计一个简易数字频率计。主要性能指标:①波形幅度:Vm=5V;②频率范围:0Hz~500kHz;③显示位数:4位;④被测信号:正弦波、方波。

2.2 方案论证

方案一:系统采用频率/电压转换方式进行测量,将被测信号经F/V转换后,再经A/D模数转换后进行数据处理。

方案二:体系选用可编程逻辑器件(PLD或ATV2500)作为信号处理及体系操控核心,完结包含计数、门控、显示等一系列作业。

方案三:体系选用MCS-51系列单片机8032作为操控中心,门控信号内8032内部的计数器发生单位为1?s,因为单片机的计数上限较低,所以需要对高频信号进行硬件体系分频处理,8032则完结运算、操控及显示功用。

方案四:系统以单片机AT89C51为核心,由信号预处理电路、AT89C51单片机及显示电路组成。被测信号通过放大整形转换为方波脉冲信号送至89C51单片机T0计数器进行计数,T1定时器定时,然后通过软件编程转换为频率通过七段数码显示管显示被测信号的频率。

2.3 方案比较

从以上方案设计论证,方案一硬件电路复杂、灵敏度高、测量范围小、系统量化误差大、性价比低,所以方案不理想;方案二利用了PLD的可编程和大规模的特点,使电路大为简化。此路用PLD不能充分发挥其特点及优势,并且测量精度不够高,导致系统性能价格比降低,系统功能扩展受到限制,因此也没有选用此方案;方案三的系统虽然具有极为灵活的可编程性,但是在实现高频信号的测量时电路硬件比较复杂,并且需要软件编程。因此,该方案实现起来较困难,本设计没有采用;方案四基于单片机技术开发出的数字式频率计数器具有简单、方便、响应速度快、体积小等一系列优点,可以及时、准确地测量低频信号的频率。

经过以上比较,方案四能精确的满足我们设计的要求,为此本设计采取此方案。

2.4 总体方案确定

输入信号经放大、整形转换为方波脉冲信号送至AT89C51单片机的定时/计数器T0。T0计数器对其进行计数,同时内部定时/计数器T1进行定时。通过软件编程将T1设置为定时50ms(20次共1s)。当定时时间到时,T0停止计数,调用计算程序计算后,再调用显示子程序送至七段数码显示管显示被测信号的频率。

3 硬件设计

3.1 体系构成

所规划的频率计的丈量规模为0~500kHz。频率计由信号预处理电路、AT89C51芯片、数码显现电路和体系软件构成。其间信号预处理电路包括信号扩大、波形改换、波形整形和分频电路。信号预处理电路中的扩大器完成对待测信号的扩大,降低对待测信号起伏的需求;波形改换和波形整形电路将扩大的信号转变成可与单片机接口兼容的TTL信号,分频电路的运用不只使单片机测频更易于完成,并且也降低了体系的测频误差;单片机经过设置使T0对外部事件计数,T1对内部定时。这样能精确地丈量信号的频率;频率显现有些选用四个七段数码显现管,节省了所需单片机的口线和器件,简化了显现有些的编程操控。

3.2 信号预处理电路

它由三级电路构成,榜首级为由开关三极管构成的零偏置扩大器,三极管选用开关三极管,以确保扩大器具有杰出的高频效应。当输入信号为零或负电压时,三极管截止,输出高电平;当输入信号为正电压时,三极管导通,输出电压跟着输入电压的上升而降低,这使得频率计既能够丈量恣意方波信号的频率,也能够丈量正弦波信号的频率。扩大器的扩大功能降低了对待测信号起伏的需求,完成了体系能对恣意大于0.5V的正弦波和脉冲信号进行丈量。第二级选用带施密特触发器的反相器CT74LS14,它用于把扩大器生成的单相脉冲转换成与CMOS电平兼容的方波信号。第三级选用14位二进制异步计数器CD4020,第三级输出的方波加到CD4020的CLK端口,Q12端输出的信号输入到单片机,从而为丈量信号的周期供给基础。别的,为使CD4020正常作业,它的RST端有必要经过电阻接地。

参考文献

[1]刘悦婷.Multisim 10在负反馈放大电路中的仿真应用[J].甘肃科学学报,2012(04).

[2]韩成浩,袁红.基于EWB的音频功率放大电路设计[J].吉林建筑工程学院学报,2010(01).

[3]刘绍忠.对《电子线路实验》课程教学改革的思考[J].今日科苑,2010(10).

[4]梁碧勇.加强电子电工实验室管理提高实验教学质量[J].内江科技,2009(04).

数字频率计范文第2篇

关键词:数字电子技术 频率计 测量 AT89C2051

中图分类号:TN095 文献标识码:A 文章编号:1672-3791(2012)02(a)-0010-01

1 数字频率计概述

数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。工作原理是:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。以前,主要是扩展测量范围,提高测量精度、稳定度等方面。现在这些技术日臻完善成熟,应用现代技术可以轻松地将数字频率计的测频上限扩展到微波频段。

随着科学技术的发展,用户对数字频率计提出了新的要求。对于一般产品要求是使用操作方便,量程宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常的数字频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。

由于微电子技术和计算机技术的发展,频率计都在不断地进步着,灵敏度不断提高,频率范围不断扩大,功能不断地增加。在测试通讯、微波器件或产品时,通常都是较复杂的信号,如含有复杂频率成分、调制的或含有未知频率分量的、频率固定的或变化的、纯净的或叠加有干扰的等等。为了能正确地测量不同类型的信号,必须了解待测信号特性和各种频率测量仪器的性能。微波计数器一般使用类型频谱分析仪的分频或混频电路,另外还包含多个时间基准、合成器、中频放大器等。虽然所有的微波计数器都是用来完成计数任务的,但制造厂家都有各自的一套复杂的计数器的设计、使得不同型号的计数器性能和价格会有所差别,比如说一些计数器可以测量脉冲参数,并提供类似于频率分析仪的屏幕显示,对这些功能具有不同功能不同规格的众多仪器,我们应该视测试需要正确地选择,以达到最经济和最佳的应用效果。

传统的频率计通常采用组合电路和时序电路等大量的硬件电路构成,产品不但体积较大,运行速度慢,而且测量低频信号时不宜直接使用。随着科技的进步,为了较好的解决这一问题人们开始运用单片机测量频率,它是一种基于时间或频率的模数转换原理,并依赖于数字电路技术发展起来的一种显示被测信号频率的数字测量仪器。

与传统的测量方式相比,运用了单片机频率计有着体积更小,运算速度更快,测量范围更宽的优点,更重要的是它能大大的降低制作成本。由于传统的频率计中有许多功能是依靠硬件来实现的,而采用单片机测量频率之后,有许多以前需要用硬件才能实现的功能现在仅仅依靠软件编程就能实现,而且不同的软件编程能够实现不同的功能,这一巨大优势无疑使得制作成本大大降低。

基于单片机的数字频率计与传统的频率计有着那么明显的优势,因此,将数字频率计的设计与实现作为研究课题。通过设计频率计系统,实现信号频率的检测功能。在检测系统的设计中,熟悉以单片机为核心的控制单元,以检测电路为依托的功能单元,以人机界面为媒介的交互单元。了解频率检测的算法及软硬件的实现方式。灵活应用电子相关学科的理论知识,联系实际电路设计的具体实现方法,达到理论与实践的统一。在此过程中,加深对信号检测和信号处理的理解和认识。这对我以后的工作和学习都是有很大帮助的。

2 电路设计与制作

2.1 硬件设计

(1)整体电路(略,有想进一步了解的读者,可联系我)。

(2)单片机AT89 C2051。

AT89C2051是由ATMEL公司推出的一种小型单片机。95年出现在中国市场。其主要特点为采用Flash存贮器技术,降低了制造成本,其软件、硬件与MCS-51完全兼容,可以很快被中国广大用户接受,其程序的电可擦写特性,使得开发与试验比较容易。

AT89C2051性能参数如下:与MCS-51产品指令系统安全兼容;2k字节可重擦写闪速存储器;1000次擦写周期;2.7V~6V的工作电压范围;全静态操作:OHz~24MHz;两级加密程序存储器;128×8字节内部RAM;15个可编程I/0口线;两个16位定时/计数器;6个中断源;可编程串行UART通道;可直接驱动LED的输出端口;内置一个模拟比较器;低功耗空闲和掉电模式。

(3)1602液晶显示屏。

LCD的优点及主要参数:对于液晶显示器来说,液晶显示器以其微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,在袖珍式仪表和低功耗应用系统中得到越来越广泛的应用。

LCD由两块玻璃板构成,厚约1mm,其间由包含有液晶材料的5μm均匀间隔隔开。因为液晶材料本身并不发光,所以在显示屏两边都设有作为光源的灯管,而在液晶显示屏背面有一块背光板(或称匀光板)和反光膜,背光板是由荧光物质组成的可以发射光线,其作用主要是提供均匀的背景光源。

LCD的优点是很明显的。由于通过控制是否透光来控制亮和暗,当色彩不变时,液晶也保持不变,这样就无须考虑刷新率的问题。对于画面稳定、无闪烁感的液晶显示器,刷新率不高但图像也很稳定。LCD显示器还通过液晶控制透光度的技术原理让底板整体发光,所以它做到了真正的完全平面。一些高档的数字LCD显示器采用了数字方式传输数据、显示图像,这样就不会产生由于显卡造成的色彩偏差或损失。完全没有辐射的优点,即使长时间观看LCD显示器屏幕也不会对眼睛造成很大伤害。体积小、能耗低也是CRT显示器无法比拟的。

2.2 软件设计

(1)主要程序段。

包括定时程序、延时子程序,用外部中断做为计数器,设定定时器定时50ms,测量在50ms内有多少个脉冲数,则可计算出脉冲周期。根据f=I/T,则可算出频率,然后通过LCD1602将频率值显示出来。

(2)软件流程图。

软件流程图略。

参考文献

[1]叶建波,余志强,EDA技术一Protel 99SE&EWB 5,0[M],北京,清华大学出版社,北京交通大学出版社,2005,3

[2]胡汉章,叶香美,数字电路分析与实践[M],北京:电子工业出版社,2009,6

[3]杨志忠,数字电子技术[M],北京:高等教育出版社,2003,12

数字频率计范文第3篇

【关键词】数字频率计;脉冲信号;控制门;译码显示;直流稳压电源;测量原理

数字频率计一种能把频率和时间等两种以上的物理量进行数字化测量的仪器。数字频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。频率计主要用于测量。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。测量频率的方法有很多,按照其工作原理分为无源测量法、比较法、示波器法和计数法等。计数法实质上属于比较法,其中最常用的方法是电子计数器法。电子计数器是一种最常见、最基本的数字化测量仪器。数字计数式频率计能直接计数单位时间内被测信号的脉冲数,然后以数字形式显示频率值。这种方法测量精确度高、快速,适合不同频率、不同精确度测频的需要。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段。它的基本功能是测量正弦信号、方波信号、尖脉冲信号以及其他周期性变化的物理量。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。它是电子测试、自动化控制等设备中不可或缺的重要测量仪器。它应用于晶体振荡器、各种信号发生器、倍频和分频电路的输出信号的频率测量,在广播、电视、电讯、微电子技术等现代科学领域也有广泛的应用。

数字频率计的工作原理是将被测信号与标准信号一起通过一个闸门,然后用计数器对标准时间内该信号脉冲的个数进行计数,并将其结果用LED数码显示管显示出来。根据课题设计要求,设计并制作了产品。其测频范围为1Hz~99999Hz。本设计由数字电路来实现,既降低了成本,又简化了原理结构。其中主要电路有波形整形电路,分频电路,控制电路和控制门,以及计数、译码、显示电路。

本数字频率计电源采用直流稳压电源得到稳定的5V电源,利用分频器获得1s的标准时间,同时利用A/D转换电路对信号进行转换,输出脉冲信号并将它们送入控制门,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后再显示出来。然后,在下一个信号到来时,利用555完成计数器的清零工作,再继续上述操作。具体由数字电路来实现,包括波形整形电路;分频电路;控制电路和控制门;计数、译码、显示电路四部分组成。使用了NE555、LM358、74LS00、74LS48、74LS90等集成块。其系统框图如图所示。

电路分析:在555振荡器输出的波形经分频电路逐级分频后,可获得各种时间基准,通过时间基准选择开关,将所选用的时间基准信号作为控制电路的触发信号。控制电路的输出为具有固定宽度(T)的方波脉冲,宽度称为闸门时间,对应得脉冲称为闸门信号。闸门信号控制主控门的一个输入端,该信号经波形整形电路送到控制门,当闸门信号到来后,控制门开启,被测信号脉冲和闸门信号通过控制门,控制门再将输出的脉冲信号送到计数器计数。最后通过译码显示电路,把被测频率显示出来。

在实际电路图中,信号经整形进入控制门,555振荡器输出的波形经分频电路逐级分频后产生的秒信号,也送入控制门中。控制门74LS00将输出的脉冲信号再送入计数器计数。由于频率计的测量范围是1Hz~99999Hz,因此计数器按十进制计数。设计中采用了74LS90计数器。显示译码器采用与共阴数码管匹配的74LS48,74LS48是一个7段码数码管的驱动芯片,通过它解码,数码管可以直接把数字显示出来,以显示5位数字,满足所测频率范围为1Hz~99999Hz的设计要求。

分频器的作用是为了获得1s的标准时间。电路首先对1MHz信号进行1000000分频得到周期为1s的脉冲信号。然后再进行二分频得到占空比为50%脉冲宽度为1s的方波信号,由此获得测量频率的基准时间。利用此信号去打开与关闭控制门,可以实现对1s时间内通过控制门的被测脉冲的数目进行控制。

NE555的作用是用内部的定时器来构成时基电路,巧妙地将模拟电路和数字电路结合在一起,给其他的电路提供时序脉冲。振荡电路如图所示。

为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行整形处理,使之成为能被计数器有效识别的脉冲信号。波形整形采用施密特触发器,如图所示。

控制门用于控制输入脉冲是否送计数器计数。它的一个输入端接标准秒信号,另一个输入端接被测脉冲。控制门可以用与非门来实现。

计数器的作用是对输入脉冲计数。根据设计要求量频率为99999Hz,采用5位十进制计数器。可以选用10进制集成计数器。74LS90是一种典型的集成异步计数器,可实现二-五-十进制计数器。

LED显示器选用的是共阴极数码管,驱动器,常用在各种数字电路和单片机系统的显示系统中。

设计制作的全过程是相当艰辛复杂的。首先要进行整体构思,设计出完整的框图,然后就是要根据框图设计电路。画电路,至关重要,需反复修改完善,等确定了以后,就要准备采购元器件,要根据技术指标选择,还要根据元器件与元器件之间是否匹配。接下来是画PCB板,制板,元器件的安装,这一环节也相当重要,一旦出现虚焊,漏焊等现象,说不定板子就将报废,一切须得重头再来,板子完工以后,最后就是要检测与调试,这也需反复修改。这是不可避免的。设计思路是最重要的部分之一,元器件的安装也是重要的一环。任何一环出错都会导致不成功。因此我们应该在设计前做好充分的准备,像查找资料必须充足,这样可以打下坚实的基础。制作过程是一个考验人耐心的过程,不能有丝毫的急躁。

参考文献

[1]叶建波,余志强.EDA技术——Protel 99 SE & EWB 5.0[M].北京:清华大学出版社,北京交通大学出版社,2005,3.

[2]朱兆优,林刚勇,马善农,王海涛.电子电路设计技术[M].北京:国防工业出版社,2007,3.

[3]杨志忠.数字电子技术[M].北京:高等教育出版社,2003, 12.

[4]徐新艳.数字电路[M].北京:电子工业出版社,2007,9.

[5]李亚伯,徐建仁.数字电路与系统[M].北京:电子工业出版社,1997,5.

[6]中国机械工业教育协会组编.单片机原理与应用[M].机械工业出版社,2001.

[7]郭永贞.数字电子技术[M].西安电子科技大学出版社, 2000.

[8]张齐,杜群贵.单片机应用系统设计技术[M].电子工业出版社,2007.

[9]李广弟.单片机基础[M].北京航空航天大学出版社,2001.

[10]于京.51系列单片机C程序设计与应用方案[M].中国电力出版社,2002.

[11]及力.Protel99SE原理图与PCB设计教程[M].电子工业出版社,2004.

[12]戴佳.51单片机C语言设计实例精讲[M].电子工业出版社,2006.

作者简介:

胡汉章(1957—),男,浙江宁波人,浙江工商职业技术学院副教授,研究方向:电工电子技术,电路分析,家用电器。

数字频率计范文第4篇

【关键词】数字频率计;计数器;定时器;闸门时间;显示器;校准信号;清零电路

一、问题的提出

在电子信息领域,仅仅电压、电流和电阻的测量已远远不能满足其飞速发展的要求,所谓一块万用表在手可走偏天下的时代也已一去不复返。目前信号频率、电磁辐射、有害气体等等的测量要求非常广泛。价格低廉、简单实用的检测设备是普通电子爱好者需求的目标之一。

本文利用价格低廉的集成电路CD4541、4528、4093、4026设计制作一款数字频率计,并对具体电路进行分析。

二、电路功能

频率是指在1S时间内周期信号变化的次数,单位为Hz,用f来表示,周期用T来表示,他们的关系为:

由此可见,数字频率计在1s标准时间内,测出信号变化的次数,然后再用数字形式显示出来,即完成其功能的主要部分。具体来说,数字频率计必须具备以下三个功能部件:

1.能产生1s标准时间的功能部件。

2.计数部件。

3.显示部件。

电路功能框图如图1所示。

三、电路原理

1.电路原理图

电路原理如图2所示,被测信号经“IN”输入,经整形后送到计数控制器输入端,当控制定时器跳变为高电平(TH:1s)时其波形前沿触发置零电路,使计数器瞬时置零,同时闸门打开,信号通过,允许计数,并通过LED显示计数值。当控制计时器为低电平时,闸门关闭,计数技术停止,所计数值保持不变并被稳定显示。

2.单元电路工作原理分析

1)整形电路和内置振荡

整形电路和内置振荡器单元由集成电路4093中的IC3:A和B组成,集成电路4093内部结构是4个双输入与非门电路组成。

整形:当SA置于“外接”时,IC3:A和B的输入端分别短接,电路成为反相“非门”,两个反相“非门”串联,则总相位不变。由于门电路输入输出特性,其输出只有“0”和“1”两种状态,整形效果较好。但要注意信号幅度必须足够大,一般应大于4/5电源电压,否则有可能无法计数显示。

内置振荡:当SA置于“校准”时,IC3:B、RP2、RP3、C3构成内置振荡器,调节RP2(粗调)与RP3(细调)设定为5000HZ,5V,可作为“校准信号”,其原理与门控振荡器相仿,这里不再重复。

2)闸门

闸门电路单元主要由集成电路4093中的IC3:C和D组成该电路利用了与非门任一输入端输入为“0”,其他输入端不再对输出状态起作用的特点,将IC3:C的一个输入端(4093⑨脚),另一输入端作为信号输入,是与非门变成一个受控的闸门。

受控输入端接控制定时器输出端,当控制定时器输出“0”时,闸门关闭,无输出。当控制定时器输出“1”,闸门打开,波形输出与输入相位一致,能够传递到计数器。

3)控制定时器

控制定时器单元是由集成电路4541和R1、RP1、C1组成。该电路输出一周期为2s(TH和TL各为1s)的方波波形,电路主要由R1、RP1、C1选频电路决定4541的内振荡频率,再经29分频得到上述波形,当输出Q端为“1”时闸门打开,开始计数,OUT端有波形输出,LED显示数字快速变化,Q端为“0”时,闸门关闭,计数停止,LED显示数字不再变化,保持,OUT端无输出波形。

4)置零电路

置零电路单元主要由集成电路IC2(4528)和R2、C2等组成。该电路是利用脉冲的上升沿进行触发的单稳态电路,R、C大小决定置零脉冲的宽度,置零脉冲的宽度应远小于被测信号的周期,IC2④脚是输入端,接4541输出端,当接收到“1”信号上升沿时,产生一个正窄脉冲信号,计数器置零。窄脉冲过后,计数器恢复计数状态。

5)显示电路

显示电路单元由4片4026集成电路和4只共阴极LED数码管组成。4026集成电路是十进制译码、直接驱动LED电路,4为十字分别表示千位、百位、十位、个位。①脚为输入端,上升沿有效,⑤脚为进位信号输出端,在本电路中又为高一位计数器提供计数脉冲。图2是数字频率计电路原理图。

四、结论

本电路设计结构简单,功能比较齐全,作为电子爱好者自行设计信号源时的频率显示辅助电路具有一定的实用性,在调试过程中,须将标准信号源接入,SA在“外接”位,信号源频率调节为5000HZ,然后调节定时器电路阻容网络(调节RP1),使Q端输出为T=2S,即为正电平1S,使闸门打开时间为1S,操作时为调节RP1使数码管显示5000。SA放到“校准”位时,调节RP2到中间位,再调节RP3使数码管显示5000即完成。

参考文献

[1]杨帆.数字频率计的设计与实现[J].科技广场,2011(9).

[2]武卫华.基于SoPC的嵌入式数字频率计设计与实现[J].电子测量与仪器学报,2010(2).

[3]沈亚钧.基于单片机的数字频率计设计[J].山西电子技术,2012(10).

[4]徐秀妮.基于VHDL语言的全同步数字频率计的设计与研究[C].长安大学,2011-05-25.

数字频率计范文第5篇

关键词:频率计;FPGA;Verilog HDL

中图分类号:TN713 文献识别码:A 文章编号:1001-828X(2016)033-000-01

随着电子技术的发展,频率的测量已经成为非常热门的话题,应用范围也随之更加广泛。大多数的数字频率计都习惯采用单片机来实现,但是单片机本身也存在很多不足:时钟频率有限;PCB版的集成度不高。因此单片机很难使频率计的工作频率得到提高。为此,采用可编程逻辑器件来实现数字频率计的设计,使其得到改善。

本设计主要包含两大功能:脉冲信号频率测量及占空比测量。

一、系统总体设计

图1 系统设计框图

系y总体设计框图如图1所示。首先将待测脉冲信号fx分别通过测频模块和占空比测量模块进行频率及占空比的测量;然后将测出的频率值与占空比值经过数制转换模块将二进制数转换为BCD码,最后再通过数码管将测量结果直观地显示出来。

二、测量原理

1.频率测量原理

主要思路是:在1s的闸门时间内,统计出待测信号的上升沿个数。由公式(1)则可计算出被测信号的频率。

(1)

式中N是指闸门信号内对被测信号的计数值,T为闸门时间。

频率测量原理波形图如图2所示。闸门信号en主要用于对计数器的工作状态进行控制。当闸门信号为高电平时,在待测信号的上升沿到来时,计数器开始对脉冲信号的上升沿进行计数;而当闸门信号为低电平时,计数器停止计数,则1s的闸门时间内计数器的计数值即为被测信号的频率[1]。

图2频率测量原理波形图

2.占空比测量原理

占空比是指在一个脉冲周期中高电平所占的百分比。本设计采用的测量方法是间接测量法,即测出脉冲周期和脉冲信号的高电平持续时间T1。根据脉冲占空比的定义可以得出:

(2)

由式(2)可知,要测出脉冲信号占空比,必须测出T1。以1MHz信号为计数的频率基准,当待测信号为高电平时进行计数,即可测出T1。

三、基于FPGA的频率及占空比测量模块设计

以FPGA作为核心器件,采用Verilog HDL对脉冲信号频率及占空比测量模块进行了逻辑设计,并通过Quartus II软件对编写的代码进行了时序仿真[2-3],仿真波形图如图3所示。

图3 频率及占空比测量模块仿真波形图

图3中,clk为系统时钟信号,被测信号fx的频率设置为25Hz,占空比设置为50%。n为被测信号频率测量值;D为被测信号占空比测量值。仿真结果与设定值一致,验证了设计的正确性。

参考文献:

[1]王振红.基于VHDL语言的数字频率计的设计[J].北方工业大学,2006,32(03):234-237.

[2]梁淼,刘会军.数字系统电子自动化设计教程[M].北京:北京理工大学出版社,2008:1-59.

[3]王金明.Verilog HDL程序设计教程[M].北京:人民邮电出版社,2004:10-30.

数字频率计范文第6篇

关键词:数字频率计74系列集成器件Proteus

中图分类号:TP39 文献标识码:A 文章编号:1007-9416(2010)08-0006-03

1 系统结构框图及工作原理

数字频率计的结构框图如图1所示。工作原理:接通电源后,首先检测一下时钟源是否起振,然后将分频电路得到四种基频信号,待测信号通过放大整形后与时基电路一起送给闸门电路,从闸门电路出来的信号送入低位计数器开始计频,然后由最高位进位信号控制四种基频的选择,再由数据分配器去控制每一个小数点,从而简便的完成了换挡功能。在这里,我们用时基信号的下降沿经反相器去控制锁存信号,将数据读出,再由时基信号的低电平去控制计数器清零,进而保证了锁存是在清零之前,有效地完成两部工作。最后,由译码器将锁存的信号译码后,再由数码管显示出来。

2 系统功能仿真调试

应用Protues进行仿真,验证所设计的电路能否将待测信号进行放大整形,能否实现频率测量,能否自动换挡、自动清零,测量高频时有无较大的误差,信号能否起振等。

2.1 放大整形电路

2.1.1 调试目的

测试放大整形电路是否具有放大整形的能,整形出来的波形是否为较为标准的方波信号。

2.1.2 调试电路

调试电路如图2所示。

2.1.3 调试结果

假设输入正弦波的幅值为2v,其显示结果为如图3所示。

2.2 计频电路

2.2.1 调试目的

调试该频率计能否实现自动换挡、自动清零以及能否测量出0-9.999MHZ的信号频率。

2.2.2 调试电路

频率测试电路如图4所示。

2.2.3 调试结果

1、待测信号的频率设为888HZ,其四位数码管的显示结果如图5所示。

2、待测信号频率设为12.58KHZ,其四位数码管的显示结果如图6所示。

3、待测信号频率设为100KHZ,其四位数码管的显示结果如图7所示。

4、待测信号频率设为1050KHZ,其四位数码管的显示结果如图8所示。

3 调试结果分析

3.1 调试电路已实现的功能

通过先分步调试后整体调试的方法,本设计已实现了测量范围从0-9.999MHZ的精确频率测量,并且能够自动换挡、自动清零。该数字频率计可主要用于测量正弦波、矩形波、三角波、尖脉冲等周期信号的频率值。

3.2 调试中遇到的问题和此电路的不足

在调试的过程中遇到的问题主要在于对逻辑控制电路和闸门电路的调试。刚开始电平出现了黄色和测量高频率时测不出数值的问题,即使测量出来了,也会等很久,而且计出来的值总是比所设的值大一,于是我就将两个锁存端直接连接,缩短了它的反应时间,再用与非门做闸门电路,很好的解决了以上问题,并且计数很精确,所花的时间也很少。该电路的不足之处就是在于如果频率要求更高,那么对元器件的要求就更高,用这一电路就很难实现,就只有用微控制器MCU来完成此类频率计的设计了。

[参考文献]

[1] 赵淑范等.电子技术实验与课程设计[M].北京:清华大学出版社,2009.

[2] 贾更新.电子技术基础实验设计与仿真[M].郑州:郑州大学出版社,2009.

[3] 朱清慧等.Proteus教程—电子线路设计、制版与仿真[M].北京:清华大学出版社,2008.

数字频率计范文第7篇

关键字:51单片机 频率计 定时器/计数器

在数字频率计设计过程之初考虑了两种方案可选:

方案一:脉冲宽度测量法

将待测波形经相关电路转换成脉冲信号后,测量脉冲信号高电平持续时间,高电平持续时间的两倍就是信号的周期,测出周期就能计算出信号的频率。

方案二:计算下降沿个数法

将待测波形经相关电路转换成脉冲信号后,测量单位时间内(一般以1秒为位单位)脉冲下降沿的个数,这个就是信号的频率。

下面就对这两种方案进行分别讨论:

一、脉冲宽度测量法

脉冲宽度进行测量法就是要实现对脉冲信号高电平的持续时间进行测量。该方法利用单片机定时器/计数器实现。下面就以51单片机为例加以说明。

1、测量原理

利用51单片机测量脉冲宽度的基本原理是将待测信号接至P3.2端,此端子是外部中断0的输入端即INTO端,利用单片机的定时器/计数器的定时功能对输入到INTO端信号的高电平持续时间进行测定,计算出定时时间就是待测信号的脉冲宽度。此功能可以用单片机的定时器T0方式1实现。

定时器/计数器T0方式1的逻辑图如图1所示:

将图中C/-T=0 ,使信号接fosc的1/12,GATE位置1,TNTO接入待测信号, T0的初值为0,即TH0=TL0=0。定时器计满溢出后向单片机申请中断。如果中断被允许,则单片机就可以执行这个中断。在外接信号高电平持续时间内,T0可能发生多次中断,要通过软件将发生中断的次数进行记录,INTO端从高电平跳变为低电平时T0停止定时。脉冲的持续时间就是单片机多次溢出的耗时加上定时器溢出的耗时,总时间t为:

t=(65536×溢出次数+TH0×256+TL0)×机器周期;

待测信号的频率为:

2、硬件电路

51单片机作为核心构建的最小系统需要供电电路,复位电路、时钟电路和显示器件。供电电路为单片机系统+5V直流稳压电源。复位电路选择结构简单的上电复位。时钟电路选择内时钟模式,需要时钟端子外接12MHZ晶振和微调电容。显示器件选择常用的LCD1602提供显示。接入脉冲信号后的硬件电路结构如图2所示。

二、计算下降沿个数法

频率的定义为单位时间内完成周期性变化的次数。据此利用单片机的定时功能和计数功能实现在1S的时间内统计脉冲下降沿的个数。直接得到单片机的频率。

1、测量原理

51单片机内部有两个定时器/计数器,分别为T0和T1。利用T0的定时功能进行定时1S,逻辑图如图1所示(上图)。图中使信号接fosc的1/12,GATE位置1,TR0=1,T0 TH0=TL0=0。定时器计满溢出后向单片机申请中断。如果中断被允许,则单片机就可以执行这个中断。直到定时时常为1S为止:

利利用T1的计数功能,其逻辑图如图3所示:

将图中C/-T=1,接T1,GATE置0,TR1=1,TH1=TL1=0。将待测信号接入T1端(即P3.4)待测信号就可以计下降沿脉冲的个数。

2、硬件电路

本设计仍采用51单片机为核心。其硬件电路图如图所示:

三、本文讨论的两种用51单片机设计数字频率计的方法。这两种方法的测量效果和精度还有待于进一步研究。

参考文献:

[1] 单片机应用技术 张伟 北京交通大学出版社

[2] 51单片机C语言教程 郭天祥 电子工业出版社

[3] C程序设计 谭浩强 清华大学出版社

数字频率计范文第8篇

关键词:数字频率计 EDA VHDL 波形仿真

中图分类号:TN79 文献标识码:A 文章编号:1007-9416(2013)11-0135-03

1 引言

传统的设计方法是基于中小规模集成电路器件进行设计(如74系列及其改进系列、CC4000系列、74HC系列等都属于通用型数字集成电路),而且是采用自底向上进行设计。现代电子设计技术的核心的发展方向是基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术[1]。EDA技术减轻了设计人员的工作强度,提高了工作效率,缩短了产品的研发周期,是电子设计技术的一个巨大进步。超高速集成电路硬件描述语言(Very-High-Speed Integrated Circuit Hardware Description Language, VHDL)语言是EDA设计中一种重要的仿真语言,具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,被广泛的应用于CPLD/FPGA的设计中。

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系[2,3]。数字频率计是一种基本的测量频率的电子仪器,广泛应用于航天、电子、测控等领域。采用常规数字电路设计数字频率计,所用的器件较多、连线比较复杂,而且存在延时较大、测量误差较大、可靠性低等缺点。本文研究了基于EDA的数字频率计电路的设计方法,介绍了数字频率计的相应模块,并开展了相应的仿真实验。

2 数字频率计的设计原理

2.1 VHDL语言与软件仿真平台

VHDL出现于1982年,是一种针对于电路设计的高级语言。VHDL语言用于描述硬件电路,已经成了一种通用的硬件设计交换媒介[4]。该语言相比于其他语言具有对硬件的描述能力强、覆盖面广、语言精炼简洁、可读性强等特点,并且,VHDL支持支持模块化设计,缩短了开发的周期。

MAX+PLUSⅡ可编程逻辑开发软件是Altera公司推出的第三代PLD 开发系统,该软件提供了全面的逻辑设计能力,包括电路图、文本和波形的设计输入以及编译、逻辑综合、仿真和定时分析以及器件编程等诸多功能。具有包括开放式的界面、与结构无关、多平台、完全集成化、丰富的设计库在内的突出优点。其系统界面如图1所示。

2.2 设计基本原理

数字频率计的基本设计原理是选取一个频率稳定度较高的频率源作为基准频率,对比测量其他信号的频率,计算每秒内待测信号的脉冲个数。我们从MAX+PLUSⅡ实验台输入两个不同的时钟频率,其中一个作为基准频率(这里选取的是1HZ的CLK信号),另一个作为待测频率,经过相应的分频或倍频后,通过频率计计算出待测频率的频率值。由于本次设计的数字频率计采用1HZ的时钟频率作为基准频率,因此,我们需要计算1秒钟时基内待测信号整形后脉冲的个数,相应的计算结果即为当前频率值,并用十进制数码管显示最终结果[5,6]。

本频率计是8位十进制数字频率计,由四个模块构成:控制模块,有时钟使能的十进制计数器模块,锁存器模块和译码显示模块。数字频率计系统组成方框图如图2所示。

在上图中出现了三个重要的控制信号,分别是计数控制信号、锁存信号和清零信号。其中,计数控制信号是长度为1秒的高电平脉冲周期信号,可以对频率计的每一个计数器的使能端进行同步控制。实验中,当计数控制信号为高电平时开始计数;低电平时停止计数,并保持所计的数;锁存信号的上升沿到来时,将计数器在前一秒钟的计数值锁存在锁存器中,并控制显示模块显示当前数;锁存计数值后,由清零信号清除计数模块中的值。

3 模块实现

根据上述描述,数字频率计包含了控制模块,计数器模块,锁存器模块和译码显示共四个模块。下面我们将分别介绍这四个模块,并且给出其中最核心模块控制模块的设计程序。

3.1 控制模块

控制模块是本频率计设计的核心模块,既要求对频率计的每一个计数器的使能端进行同步控制,又要求能产生锁存信号将计数值记录到锁存器中。由此,利用VHDL语言设计该控制模块如下,仿真波形如图3所示。

3.2 其他模块

计数模块是对被测频率信号进行频率计数测量的模块,由8个一位十进制计数器级联组成,当时钟使能输入端为高电平时,进行计数,反之,锁定计数值。

我们可以先通过VHDL语言编写1个一位十进制计数器,再将其原件化后搭建成八位十进制计数模块。锁存模块由锁存器构成,当锁存信号的上升沿到达后信号被锁存到寄存器的内部,并由锁存器的输出端输出。译码显示模块对四位BCD码进行锁存,并转化为相应的三组七段码,用于驱动数码管,译成能在数码管上显示的相对应的数值。

根据本节所描述的各模块的基本原理,我们分别用VHDL语言进行编程实现,下一节将给出数字频率计的仿真结果。

4 仿真结果

在MAX+plus II的原理图编辑窗口的空白处双击,将弹出“Symbol”窗口,点击左侧的元件库栏中的Project项,选择刚才第3部分中生成的各个功能模块,再点击下方的OK,即可将此元件调入原理图编辑窗口中,并根据各模块功能和频率计的功能进行连接并生成顶层电路文件,如图4所示。

数字频率计的最终仿真波形如图5所示。实验验证了上述设计的正确性。

5 结语

本文基于EDA技术设计了数字频率计,描述了各模块的基本原理,用VHDL语言编程实现,并在MAX+PLUSⅡ软件上进行设计仿真,给出了最终的仿真实验结果。需要指出的是,用户可以在基本电路模块的基础上,修改VHDL源程序达到增加新功能的目标,例如由目前的8位增加到16位,改变基础频率的输入等,而不必更改硬件电路。

参考文献

[1]李晓辉.数字电路与逻辑设计[M].国防工业出版社,2012年.

[2]郭小东.基于VHDL语言的数字频率计电路的设计[J].信息与电脑(理论版),2009,7:064.

[3]潘明.基于复杂可编程逻辑器件的数字频率计设计[J].广西科学院学报,2002,18(4):244-251.

[4]齐京礼,宋毅芳,陈建泗.VHDL语言在FPGA中的应用[J].微计算机信息,2006,22(12): 149-151.

[5]顾巨峰,周浩洋,朱健华.基于可编程逻辑器件(Lattice)的多功能数字频率计[J].电子工程师,2002,28(1):28-32.

数字频率计范文第9篇

关键词:FPGA;多功能;全同步;频率计;VHDL

中图分类号:TN74;TP368.1文献标识码:B

文章编号:1004-373X(2010)02-151-03

Design of Multi_functional Full_ synchronization Digital Frequency

Meter Based on FPGA

RAO Chengming1,2,MA Xizhi1

(1.College of Mechanical and Electrical Engineering,Nanjing University of Aeronautics and Astronautics,Nanjing,210016,China;

2.Wuxi Institute of Technology,Wuxi,214121,China)

Abstract:Based on the analysis of frequency_measuring methods,the full_synchronization theory is introduced,and a multi_functional full_synchronization digital frequency meter controlled by SCM_AT89C51 and VHDL Language is used for developing a program in Max+Plus Ⅱ through FPGA chip is presented.This design methods can meet the needs of measurements for rate,resource and frequency accuracy of the frequency meter.

Keywords:FPGA;multi_function;full_synchronization;frequency meter;VHDL

0 引 言

在电子技术领域内,频率是最基本的参数之一。由于测频的重要性,也使测频方法有了很大的发展。常用的数字频率测量方法有M法(直接测量法)、T法(周期测量法)和M/T法(等精度测量法),但这三种方法都存在±1个计数误差的问题。全同步测量法的提出,从根本上消除了测量精度±1个计数误差问题[1],从而使频率测量的精度和性能大为改善。

基于传统测频方法的频率计测量精度随被测信号频率的变化而变化,且功能单一,成本较高,在实用中有很大的局限性[2]。全同步测量不但有很高的测量精度,而且在整个频率区域能保持恒定的测量精度,同时通过功能的扩展,可以解决电信号和非电量的多个参数测量,从而实现功能的多样化。在此,基于对单片机控制技术、FPGA器件和全同步测量方法的研究,给出一种利用FPGA实现DC-50 MHz多功能全同步数字频率计的实现方法及其仿真波形。整个系统在研制FPGA/CPLD实验开发系统上调试通过。采用这种方法,可以大幅度缩短设计周期,并使设计产品具有小型化,功耗低,速度高,集成度和可靠性高的优点。

1 全同步测频原理

M/T法的测量精度不仅取决于基准时间和计数器的计数误差,还取决于频率,频率不同,则其精度不一样。M法在高频段的准确度相对较高,T法在低频段的准确度较高,M/T法在整个测试频段的精度一样。闸门信号是被测信号的整数倍,与被测信号同步,因此大大减少了误差,但由于只与被测信号同步,而不与标准时钟同步,因此还是存在着±1个计数误差。其测量频率原理如图1所示[3]。

图1 等精度测频原理

若不计标准时钟误差,则测量的相对误差计算为:

δ=(|fx-fx′|/fx×100%=1/(Tsfs)(1)

式中:fx为被测信号频率的真实值;fx′为被测信号频率的测量值;Ts为闸门时间;fs为标准时钟频率。

由式(1)可知,误差与闸门时间及时钟频率有关,闸门时间越长,标准时钟频率越高,误差越小。由于用等精度频率法测量时所取的标准时钟一般都比较高,因此±1个计数误差相对很小。标准时钟频率不可能无限制提高,并且随着频率的提高,产品成本成倍增加,对于生产应用没有意义。因此该设计采用改进的等精度频率测量方法,即全同步测量来实现数字频率计的设计。在全同步的情况下,闸门信号不仅与被测信号同步,还与标准时钟同步,其原理图如图2所示[4]。

图2 全同步测频原理

设开启闸门时脉冲同步时间差为Δt1;关闭闸门时脉冲同步时间差为Δt2;脉冲同步检测最大误差为Δt,则有Δt1≤Δt,Δt2≤Δt。频率测量的相对误差为:

δ=|fx-fx′|/fx×100%=

|Δt1+Δt2|/Ts≤2|Δt|/Ts(2)

由式(2)可知,误差只与脉冲检测电路的准确度有关,采用控制误差的方式可有效地提高频率测量精度,而且实现起来比提高标准时钟频率更容易。在以上分析的基础上,该设计采用FPGA实现多功能数字频率计,由74LS系列与非门来实现脉冲全同步检测。脉冲同步检测电路如图3所示[5]。

图3 脉冲同步检测电路

图3中,U1~ U8为74LS系列与非门,同步检测电路由门电路的延时构成。当且仅当Fx与CLK的上升沿在延时时间内同时到达时,U8才会输出高电平。

74LS系列与非门的延时最小为4 ns,最大为15 ns,因此最大误差为11 ns。根据式(2)可得:

δ=2|Δt|Ts=2×11×10-9Ts=22109Ts

当Ts=1 s时,其精度可达到10-7,如果再减少相位误差,则可提高频率计的精确度。

2 多功能全同步频率计模块设计

该设计选用的是Altera公司生产的FPGA(Field Programmable Gate Array) 芯片,该芯片型号为EP1C6Q240C8,属应用VHDL语言数据类型,它的结构是层次化的,利用这些丰富的数据类型和结构模型,可对复杂的数字系统进行逻辑设计,并用计算机进行仿真。在对其逐步完善后,再进行自动综合,最后下载到可编程逻辑器件中,从而完成设计任务。

2.1 硬件电路设计系统原理图

系统组成原理框图如图4所示[6]。该系统由一片FPGA完成各种测试功能,并实现计数。系统控制由AT89C51单片机完成,包括对键盘信号、测量结果、FPGA测量过程、LED显示等信号和数据的处理[7]。被测信号通过整形电路整形后,送入FPGA芯片测频计数。单片机由外接12 MHz标准晶振提供时钟电路。用50 MHz的有源晶振作为FPGA的标准频率。电源部分采用220 V交流电压变压、滤波、稳压后得到5 V电压供整个系统使用。

图4 系统原理框图

2.2 FPGA实现模块

在电子设计领域,可编程逻辑器件(Programmable Logic Devices,PLD)的出现,改变了传统系统设计由门级电路到模块再到系统的设计方法,而是由顶层到底层的设计,使系统设计和升级具有极大的方便性和灵活性。FPGA是目前应用最广泛的可编程器件之一。它的设计需在专用的设计环境下,经过设计输入、编译、仿真、综合等步骤[8],再通过下载器下载到与FPGA配套的储存器件中,当系统工作时,FPGA会自动读取数据,从而实现预定的电路设计功能。

该频率计的测试功能都由FPGA完成。根据测频原理,利用VHDL语言编写各个部分逻辑模块,实现框图如图5所示。

设计原理图主要由脉冲同步检测电路、控制器、计数器、相位测量、脉宽/占空比测量、多路选择器电路等组成。以测频为例,工作原理如下:被测信号TCLK及标准时钟BCLK分别送给脉冲同步检测电路及测频电路中的两个计数器,当脉冲同步检测电路检测到TCLK与BCLK同步时,脉冲同步检测电路发出同步信号,两个计数器开始计数,同时控制器发出指令,由多路选择器选择测频开始;当脉冲同步检测电路再次检测到同步信号时,又发出同步信号,测频模块中两个计数器停止计数,同时计数器所得数据传输给控制电路运算,所得结果就是被测信号的频率。

图5 FPGA模块电路原理图

2.3 软件设计

各种测试功能流程如图6所示[9]。以测频为例,在系统初始化后,由键盘扫描子程序读入要执行的功能键;读入键之后,立刻跳转到测频子程序;测频子程序先置测频控制位SPUL,CL,SEL,将FPGA内的计数清零,然后将预置门的时间值读入单片机再打开预置门进行测频计数,等预置门时间到后,关断预置门;然后FPGA给单片机一个结束信号,单片机读到结束信号后,通过DATA端,分四次将测频结果的32位数据读入单片机,计算后将结果转换为BCD码送入LED显示输出。由于测控主程序较长,这里不给出。

图6 单片机主程序流程图

2.4 FPGA芯片顶层设计及仿真结果

根据图5所示的模块电路设计原理,并经过VHDL编程,得到各模块的VHDL设计实体。对各模块的设计实体在Max+Plus Ⅱ中进行仿真,验证各模块的正确性。设计一个顶层文件把各模块按图5连接起来,便构成了一个全同步多功能数字频率计的FPGA内部硬件电路。图7给出测频顶层文件的仿真结果。

图7 测频顶层文件仿真波形图

3 结 语

与传统的频率计相比,基于EDA技术设计的频率计简化了电路板的空间,提高了系统设计的可靠性。在设计中采用全同步和两个同步的32位计数器,无需选择量程便可实现宽频高精度的频率测量,并在FPGA中实现系统集成,达到预期效果。该频率计还具有扩展功能,配上相应的转换器可测量电压、电流、功率和电阻等电量;配合传感器还可以测量长度、位移、重量、压力、温度、转速、速度等非电量[10]。因此,全同步多功能频率计有着广泛的应用空间。

参考文献

[1]徐成,刘彦,李仁发.一种全同步数字频率测量方法的研究[J].电子技术应用,2004,38(12):43-46.

[2]潘松,黄继业.EDA技术与VHDL[M].北京:清华大学出版社,2005.

[3]李云鹏,王思明.基于FPGA的等精度频率计设计[J].电子元器件应用,2007,9(11):54-55.

[4]魏西峰.全同步数字频率测量方法的研究[J].现代电子技术,2005,28(12):101-105.

[5]包本刚,何怡刚,朱湘萍.全同步数字频率计的VHDL设计与仿真[J].现代电子技术,2007,30(20):176-178.

[6]林占江,林放.电子测量仪器原理与应用[M].北京:电子工业出版社,2006.

[7]孙涵芳.MCS51/96系列单片机原理及应用[M].北京:北京航空航天大学出版社,1994.

[8]谭会生.EDA技术及应用[M].西安:西安电子科技大学出版社,2004.

[9]金西.VHDL与复杂数学系统设计[M].西安:西安电子科技大学出版社,2003.

数字频率计范文第10篇

在传统的控制系统中,通常将单片机作为控制核心并辅以相应的元器件构成一个整体。但这种方法硬件连线复杂、可靠性差,且在实际应用中往往需要外加扩展芯片,这无疑会增大控制系统的体积,还会增加引入干扰的可能性。对一些体积小的控制系统,要求以尽可能小的器件体积实现尽可能复杂的控制功能,直接应用单片机及其扩展芯片就难以达到所期望的效果。

复杂可编程逻辑器件(CPLD)具有集成度高、运算速度快、开发周期短等特点,它的出现,改变了数字电路的设计方法、增强了设计的灵活性。基于此,本文提出了一种采用Altera公司的CPLD(ATF1508AS)和Atmel公司的单片机(AT89S52)相结合的数字频率计的设计方法。该数字频率计电路简洁,软件潜力得到充分挖掘,低频段测量精度高,有效防止了干扰的侵入。独到之处体现在用软件取代了硬件。

CPLD开发环境简介

1 VHDL语言

VHDL(Very High SpeedIntegrated Circuit Hardware Description Language,超高速集成电路硬件描述语言)是由美国国防部开发的一种快速设计电路的工具,目前已经成为IEEE的一种工业标准硬件描述语言。相比传统的电路系统设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计人手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。

2 Max+Plus Ⅱ开发工具

Max+PlusⅡ开发工具是美国Altera公司自行设计的一种CAE软件工具。它具有全面的逻辑设计能力,设计者可以自由组合文本、图形和波形输入法,建立起层次化的单器件或多器件设计。利用该工具配备的编辑、编译、仿真、综合、芯片编程等功能,将设计的电路图或电路描述程序变成基本的逻辑单元写入到可编程芯片中(如CPLD、FPGA),做成ASIC芯片。

它支持FLEX、MAX及Classic等系列CPLD器件,设计者无须精通器件内部的复杂结构,只需用自己熟悉的设计输入工具,如高级行为语言、原理图或波形图进行设计输入,它便将这些设计转换成目标结构所要求的格式,从而简化了设计过程。而且Max+PlusⅡ提供了丰富的逻辑功能库供设计者使用。设计者利用以上这些库及自己添加的宏功能模块,可大大减轻设计的工作量。使用Max+PlusⅡ设计CPLD器件的流程如图1所示。

等精度测频原理

本系统采用等精度测频的原理来测量频率,其原理如图2所示。

图2中的门控信号是可预置的宽度为Tpr的一个脉冲。CNTI和CNT2是两个可控计数器。标准频率信号从CNTI的时钟输入端FS输入,其频率为Fs,被测信号经整形后从CNT2的时钟输入端FIN输入,设其实际频率为Fxe,测量频率为Fx。

当门控信号为高电平时,被测信号的上沿通过D触发器的Q端同时启动计数器CNTl和CNT2。对被测信号Fx和标准频率信号Fs同时计数。当门控信号为低电平时,随后而至的被测信号的上沿将使这两个计数器同时关闭。设在一次门控时间Tpr中对被测信号计数值为Nx,对标准频率信号的计数值为Ns,则:

Fx/Nx=Fs/Ns(标准频率和被测频率的门宽时间Tpr完全相同)就可以得到被测信号的频率值为:

Fx=(Fs/Ns)×Nx

系统硬件电路设计

1 系统总体设计

本系统的硬件电路包括键盘控制模块、显示模块、输入信号整形模块以及单片机主控和CPLD模块。键盘控制模块设置5个功能键和3个时间选择键,键值的读入采用一片74LSl65来完成,显示模块用8只74LSl64完成LED的串行显示。

系统由一片CPLD完成各种测试功能,对标准频率和被测信号进行计数。单片机对整个测试系统进行控制,包括对键盘信号的读入与处理;对CPLD测量过程的控制、测量结果数据的处理;最后将测量结果送LED显示输出。被测信号整形电路主要对被测信号进行限幅、放大、再经施密特触发器整形后送入CPLD。用50MHz的有源晶振作为CPLD的测试标准频率。单片机由外接12MHz标准晶振提供时钟电路。系统组成原理如图3所示。

2 CPLD逻辑模块设计

根据等精度测频原理,利用VHDL实现的测频顶层电路模块逻辑结构如图4所示。

该模块由4个子模块构成。其中CONTROL1为测频或测周期控制模块;CONTROL2为测脉宽和占空比控制模块;CHOICE为自校与测量选择模块;COUNT为基准频率和被测频率计数器模块。

被测信号脉冲经CHOICE选择后,从控制模块CONTROL1的FX1端输入,基准频率信号从FS端输入,CLR是初始化信号。在进行频率或周期测量时,完成如下步骤:

(1)在CLR端加正脉冲信号完成测试电路状态的初始化。

(2)由预置门控信号将STROBE置高电平,预置门开始定时,此时由被测信号的上沿打开计数器COUNT,同时对基准频率信号和被测信号进行计数。

(3)顶置门定时结束信号把STROBE置为低电平(由单片机来完成),在被测信号的下一个脉冲的上沿到来时,COUNT停止计数。

(4)计数结束后,EDI端输出低电平来指示测量计数结束,单片机得到此信号后,即可利用SS0,SS1进行选择,四次分别读回COUNT中基准频率信号和被测信号计数值,并根据上述测量公式进行运算,计算出被测信号的频率或周期值。

系统软件设计

本系统的单片机主控及其电路模块用Keil C语言编写,软件模块对应于硬件电路的每一个部分,还包括部分数据计算和转换模块。CPLD模块用VHDL语言编写,并在Max+PlusⅡ平台上,完成CPLD的软件设计、编译、调试、仿真和下载。系统初始化后,主程序不断扫描键盘子程序,当其键按下时,程序跳转到相应的子程序执行其功能,然后返回继续执行键盘扫描主程序。其主程序流程图如图5所示。

结论

上一篇:cpu频率范文 下一篇:电功率范文