数字电路论文范文

时间:2023-03-09 12:59:28

数字电路论文

数字电路论文范文第1篇

关键词:数字信号处理器;三电平;PWM整流器;功率因数校正

引言

三电平(ThreeLevel,TL)整流器是一种可用于高压大功率的PWM整流器,具有功率因数接近1,且开关电压应力比两电平减小一半的优点。文献[1]及[2]提到一种三电平Boost电路,用于对整流桥进行功率因数校正,但由于二极管整流电路的不可逆性,无法实现功率流的双向流动。文献[3],[4]及[5]提到了几种三电平PWM整流器,尽管实现了三电平,但开关管上电压应力减少一半的优点没有实现。三电平整流器尽管比两电平整流器开关数量多,控制复杂,但?具有两电平整流器所不具备的特点:

1)电平数的增加使之具有更小的直流侧电压脉动和更佳的动态性能,在开关频率很低时,如300~500Hz就能满足对电流谐波的要求;

2)电平数的增加也使电源侧电流比两电平中的电流更接近正弦,且随着电平数的增加,正弦性越好,功率因数更高;

3)开关的增加也有利于降低开关管上的电压压应力,提高装置工作的稳定性,适用于对电压要求较高的场合。

1TL整流器工作原理

TL整流器主电路如图1所示,由8个开关管V11~V42组成三电平桥式电路。假定u1=u2=ud/2,则每只开关管将承担直流侧电压的一半。

以左半桥臂为例,1态时,当电流is为正值时,电流从A点流经VD11及VD12到输出端;当is为负值时,电流从A点流经V11及V12到输出端,因此,无论is为何值,均有uAG=uCG=+ud/2,D1防止了电容C1被V11(VD11)短接。同理,在0态时,有uAG=0;在-1态时,有uAG=uDG=-ud/2,D2防止了电容C2被V22(VD22)短接。

右半桥臂原理类似,因此A及B端电压波形如图2所示,从而在交流侧电压uAB上产生五个电平:+ud,+ud/2,0,-ud/2,-ud。

每个半桥均有三种工作状态,整个TL桥共有32=9个状态。分别如下:

状态0(1,1)开关管V11,V12,V31,V32开通,变换器交流侧电压uAB等于0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。

状态1(1,0)开关管V11,V12,V32,V41开通,交流侧输入电压uAB等于ud/2,输入端电感电压等于us-u1。电容C1电压被正向(或反向)电流充电(u1<us,或放电us<u1),C2通过直流侧负载放电。

状态2(1,-1)开关管V11,V12,V41,V42开通,输入电压uAB=ud,正向(或反向)电流对电容C1及C2充电(或放电),由于输入电感电压反向,电流is逐渐减小。

状态3(0,1)开关管V12,V21,V31,V32开通,交流侧输入电压uAB等于-ud/2,输入电感上电压等于us+u1。电容电压被正向(或反向)电流充电(或放电)。

状态4(0,0)开关管V12,V21,V32,V41开通,输入端电压为0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。

状态5(0,-1)开关管V12,V21,V41,V42开通,交流侧电压为ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。

状态6(-1,1)开关管V21,V22,V31,V32开通,uAB=-ud,正向(或反向)线电流对两个电容C1及C2充电(或放电),由于升压电感电压正向,线电流将逐渐增加。

状态7(-1,0)开关管V21,V22,V32,V41开通,交流侧电压电平为-ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。

状态8(-1,-1)开关管V21,V22,V41,V42开通,输入端电压为0,升压电感电压等于us,两个电容C1及C2均通过负载电流放电。电流is根据电压us的变化而增加(或减小)。

2硬件电路设计

从图2可以看出,在输入电压频率恒定的情况下,要在变换器交流侧产生一个三电平电压波形,输入电压一个周期内应定义两个操作范围:区域1和区域2,如图3所示。

在区域1,电压大于-ud/2,并且小于ud/2,在电压uAB上产生三个电平:-ud/2,0,ud/2。同理,在区域2,电压绝对值大于ud/2,并小于直流侧电压ud,在电压正半周期(或负半周期)上产生两个电平:ud/2和ud(或-ud/2和-ud)。相应电平的工作区域如表1所列。

表1相应电平的工作区域

工作区域

1

2

1

2

us>0

us<0

us>0

us<0

高电平

ud/2

ud

-ud/2

低电平

-ud/2

ud/2

-ud

为方便控制,这里定义两个控制变量SA及SB,其中

根据表1可以设计一个开关查询表,如表2所列,将其存储在DSP中,当进行实时控制时,便可根据输入电压、电流信号,从表中查询所需采取的开关策略。

表2查询表

SA

SB

V11

V12

V21

V22

V31

V32

V41

V42

uAB

1

1

1

1

1

1

1

1

1

1

1

ud/2

1

-1

1

1

1

1

ud

1

1

1

1

1

-ud/2

1

1

1

1

-1

1

1

1

1

ud/2

-1

1

1

1

1

1

-ud

-1

1

1

1

1

-ud/2

-1

-1

1

1

1

1

整个控制系统以一片DSP为核心,控制框图如图4所示。

锁相环电路产生一个与电源电压同相位的单位正弦波形,ud的采样信号通过低速电压外环调节器进行调节,电流is的采样信号通过高速电流内环G1进行调节,电容C1端直流电压u1与电容C2端直流电压u2分别通过两个PI调节器进行调节,补偿环G2用于补偿两只电容电压的不平衡。

检测的线电流命令is与参考电流is*比较,产生的电流误差信号送至电流内环G1,以跟踪电源电流变化,产生的线电流波形将与主电压同相位。

3软件设计

系统采用两个通用定时器GPT1及GPT2来产生周期性的CPU中断,其中GPT1用于PWM信号产生、ADC采样和高频电流环控制(20kHz),GPT2用于低频电压环的控制(10kHz),两者均采用连续升/降计数模式。低速电压环的采样时间为100μs,高速电流环采样时间为50μs。中断屏蔽寄存器IMR,EVIMRA和EVIMRB使GPT1在下降沿和特定周期产生中断,GPT2则仅在下降沿产生中断。

整个程序分为主程序模块、初始化模块、电流控制环计算模块、电压控制环计算模块、PWM信号产生模块等五大部份。程序流程如图5所示。

4仿真结果及实验

仿真参数如下:输入电压us交流220V,50Hz,输出功率1kW,开关管GTO,开关频率500Hz。整流状态和逆变状态下电源电压us、电源电流is、交流侧电压uAB波形分别如图6及图7所示。实验结果也证实了设计的正确性,在采用GTO管、开关频率较低(500Hz)时,输入侧电流波形仍然非常接近正弦,装置得到了接近1的功率因数,同时开关上的电压应力减少了一半。

5结语

数字电路论文范文第2篇

关键词:数字电路教学方法教学目标教学要求

“数字电子技术”是高职高专电类专业的一门专业基础课程,是一门理论性和实践性都较强的课程。它的任务是通过学习数字电路的基本概念、基本原理和基本技能使学生在数字电路方面具有一定的理论水平和实践技能,它是《微机原理与应用》、《单片机原理与应用》和《PLC原理与应用》等主要专业课程必不可少的基础知识。该课程对于学好后继专业课程以及提高学生的工程实践能力都有着极其重要的作用。

1立足于教学目标,展开教学

1.1知识目标

熟悉布尔代数的基本定律,掌握卡诺图与公式化简法;掌握数字电路中常用的基本单元电路和典型电路构成、原理与应用;掌握常用的中小规模集成电路功能。

1.2能力目标

具有查阅集成电路器件手册,合理选用集成电路器件的能力。对集成芯片,重点分析电路的外特性和逻辑功,以一些典型集成电路为例介绍如何查阅集成电路手册、资料等,使学生学会在实际应用中正确选择和使用集成芯片。

具有识读和分析一般典型应用电路的能力。增强电路分析的内容,弱化电路设计。传统数字电路教学往往注重电路设计内容的教学,好像只有电路设计的能力,才能代表水平,而电路分析代表技能,是低技术的。不过技能却正符合了高职高专的教学目标,所以在教学过程中,应注重电路分析方法的教学,让学生学会分析较复杂电路,能修改已有电路服务于自己的设计目标。

具有逻辑分析问题与解决问题的方法。随着数字技术的广泛普及,数字化社会已经到来,大规模、超大规模数字集成电路以其低功耗、高速度等特点,应用越来越广泛。因此如何在有限的时间内使学生扎实掌握数字电路基础知识理论和基本操作技能,培养分析问题、解决问题的能力,是教师在教学过程中需要认真思考的问题。并使学生在传统的数字电路逻辑分析、逻辑设计思维训练的基础上进一步建立起现代数字电路的应用与设计思想,掌握现代电子技术的新技术和新器件,在专业学习中适应当代硬件技术与信息技术的发展,为走向实际工作岗位打下坚实的基础,为拓宽就业市场寻求一条全新之路。

1.3思想教育目标

(1)树立热爱科学、实事求是的学风和创新精神、创新意识。(2)具有一定的自学能力和获取新知识、新技术的基本素质。(3)提高逻辑思维能力、养成认真细致的工作作风。

总之,专科教学不同于本科教学,专科教学注重于学生能力和综合素质的培养,教学过程中突出培养学生应用知识,分析解决实际问题的能力,以学生为主体,以教师为主导,以教学为主线,树立能力培养目标为重中之重的思想。

2选择合适的教材,以教学要求分层、考核形式分类的方式评价教学

2.1教材的选择

目前我校选择的教材充分体现了高职高专教育的特点,以应用为宗旨,强调理论与实践相结合。编写原则遵循由浅入深,通俗易懂,便于自学,力争做到“讲,学,做”统一协调,重点和难点采取阐述与比喻相结合,例题与习题相结合,实例与实验相结合,针对数字电路课程实践性强的特点,增加了与教材相应的实践环节教学内容。

针对数字电路教学过程中存在教学内容与学时数的矛盾,根据国家教委课程指导委员会的提议:EDA技术是电子技术类课程教学改革的重要方向。我校及时修订课程大纲、调整教学内容。把EDA技术和PLD器件纳入教学计划。将教学内容分为数字逻辑基础、组合逻辑电路、时序逻辑电路、可编程逻辑器件和脉冲信号的产生与整形五大模块。

2.2教学要求分层

教学要求分为五个层次A.知道、了解。学生对教学内容有感性的、初步的认识或只要能识别它B.领会、理解。学生对概念、规律、基本操作等有理性的认识,即能自述、解释和举例说明,并在教师的指导下能顺利地完成基本操作C.掌握、运用。学生在理解教学内容后,通过练习,形成技能;运用概念、方法、规则进行常规运算求解、论述和简单运用、自主操作等D.熟练掌握、灵活运用。学生能综合运用某个知识解决问题,综合运用某项技能进行熟练操作或小规模技术设计等,从而形成某种能力E.思想素质的提高。如态度、意识、精神、毅力等的培养。

同时,采取了以创新能力的培养为核心的“四位一体”教学法,即旨在通过学生自学、讨论、答辩、考查四个阶段,培养学生的自学能力以及分析问题和解决问题的能力,彻底解决传统教法中“满堂灌”的现象。

2.3考核形式分类

考核形式分为五种:笔试:传统的拟卷考试;操作:通过学生动手操作来考核;答辩:教师出题或学生自拟题,经一段时间的实践,学生以报告形式完成答卷并根据需要答辩;社会化考核:参加由国家有权部门认定的考试考核机构或组织进行的考试考核;社会评判:由社会评定结果如实习鉴定等。

通过多种考核形式达到综合评价学生的效果。

3采取传统和现代化教学手段结合方式,运用实例灵活教学

3.1传统和现代化教学手段相结合

教学课件是教材内容的提升和精炼,是将教材中的概念、定律及应用内容转化为形象逼真的映像展示给学生。多媒体教学进入课堂是对传统教学方法的改革,它是教学过程的一个有力工具,但决不能成为课堂教学的主宰,过于详细的课件使学生上课注意力不集中,一些学生觉得课程内容包含在课件中,便在课堂上不记笔记、注意力分散、交头接耳、甚至逃课。可以想象,教学中教师盯着显示器,学生盯着大屏幕,这样的教学情景很难调动教学气氛、影响教师配以肢体语言等的热情发挥,更谈不上师生间的互动。只有将多媒体教学方法和传统教学方法有机的结合起来,相互补充,并在教学实践中不断完善,才能取得完美的效果。

EDA是电子设计自动化(ElectronicDesignAutomation)的英文缩写,将EDA技术引入数字电路课程教学,可以使教师在讲述理论的同时,利用EDA技术软件进行仿真、演示,使学生消除“抽象感”,增加学习的兴趣。使课堂教学更生动、直观,使数字电路课程中一些基本理论和基本概念更加容易理解。

3.2运用实例灵活教学

数字电路的授课可以结合生活中的应用举例,如目前多媒体PC机里的显示卡、声卡是用数电中的数——模(D/A)转换实现图像显示和声音播放的;制造业中的数控机床,交通信号灯的转向时间显示,家电产品中的CD、VCD、DVD等也都应用了数电技术。通过这些实例的介绍,可以使学生真正了解数字电路课程的重要性,从而能更加主动的去掌握所学知识。

培养创新型人才,就要实施创新教育,重视实验教学,改变以教师为主导的教育模式,充分发挥实验教学的作用,使之成为引导学生从实践来获取和应用理论知识的主要渠道,在完成验证性实验的基础上,实验大纲中安排智力竞赛抢答器和电子秒表等一系列的综合性实验,使学生在由简到繁的设计过程中了解设计工作的思路、方法,通过让学生实际制作,使学生懂得如何进行理论和实践相结合,加深对知识点的理解。

4结语

总之,我们只有立足于教学目标,选择合适的教材,采取传统和现代化教学手段结合方式,运用实例灵活教学,培养学生的创新能力,才能搞好教学,才能为学生走向实际工作岗位打下坚实的基础。

参考文献:

[1]胡锦.数字电路与逻辑设计[M].北京:高等教育出版社,2002.

数字电路论文范文第3篇

1.1 信号线间距离的影响

计算机高速数字电路设计技术的发展是电子设计领域一次新的突破,对计算机电子技术的发展有着极大的作用。但是,在现阶段计算机高速数字电路设计技术中却存在一定的问题。例如,信号线间距离对计算机高速数字电路设计的影响,一般情况下,信号线间的距离会随着印刷版电路密集度的增大而变化,越来越狭小,而在这个过程中,也会导致信号之间的电磁耦合增大,这样就不会对其进行忽略处理,会引发信号间的串扰现象,而且随着时间的推移会越来越严重。

1.2 阻抗不匹配的问题

阻抗是信号传输线上的关键因素,而在现阶段计算机高速数字电路设计的过程中,却存在信号传输位置上的阻抗不相匹配的现象,这样极易引发反射噪声,而反射噪声将会对信号造成一定的破坏,使得信号的完整性受到极高速数字电路设计是电子技术行业发展的重要结晶,通过多个电子元件组成,更是将电子技术发挥的淋漓尽致,而且,计算机高速数字电路技术的应用也极为广泛。但是,在实际的应用中,计算机高速数字电路设计技术却受到一些因素的影响,例如,信号线间距离的影响、阻抗不匹配的问题、电源平面间电阻和电感的影响等,都会对计算机高速数字电路技术的运行效率产生影响,要提升计算机高速数字技术的应用效率,必须解决这些影响因素,对此,本文主要对计算机高速数字电路设计技术进行研究。摘要大的影响。

1.3 电源平面间电阻和电感的影响

计算机高速数字化电路设计技术是根据实际的情况,利用先进的电子技术设计而成,在诸多领域都得到广泛的应用。现阶段计算机高速数字电路设计中,由于电源平面间存在电阻和电感,使得大量电路输出同时动作时,就会使整个电路产生较大的瞬态电流,这将会对极端级高速数字电路地线以及电源线上的电压造成极大的影响,甚至会产生波动的现象。

2计算机高速数字电路技术的研究分析

2.1 合理设计,确保计算机高速数字电路信号的完整性

通过以上的分析得知,现阶段计算机高速数字电路设计技术中,由于受到阻抗不匹配的影响,对电路信号的完整性也造成一定的影响,因此,要对计算机高速数字电路技术进行合理的设计,确保计算机高速数字电路信号的完整性。主要分为两方面研究,一方面是对不同电路之间电路信号网的传输信号干扰情况进行研究,也就是以上所提到的反射和干扰的问题,而另一方面,要对不同信号在传输的过程中,对电路信号网产生的干扰情况进行分析。计算机高速数字电路在运行的过程中,会受到阻抗不相匹配的因素而影响到电路信号的传输效率,而且,现阶段计算机高速数字电路运行的过程中,阻抗很难控制,经常会出现阻抗过大或过小的现象,都会对电路信号传播的波形产生一定的干扰,从而对计算机高速电路传输信号的完整性产生直接的影响。为了避免这类情况的发生,要对计算机高速数字电路设计技术展开研究,从正常理论来看,高速数字电路设计难以使电路与临街阻抗的状态相互符合,可以对计算机高速数字电路设计技术进行改进,保持系统处于过阻抗状态,这样就能保证计算机高速数字电路设计不会受到阻抗不等的状态而影响到计算机高速数字电路信息传输的完整性。

2.2 对高速数字电路电源进行合理设计

电源是计算机高速数字电路技术的重要组成元件,通过以上的分析得知,计算机高速数字电路设计中,由于受到电源平面间电阻和电感的影响,使得电源运行过程中会出现过电压的故障,也就是电源的波形质量受到影响,严重影响到计算机高速数字电路运行的可靠性。从理论上来看,如果高速数字电路设计中,电源系统中不存在阻抗的话是电路设计最理想的状态,这样整个信号的回路也不会存在阻抗耗损的问题,系统中的各个点的点位就会保持恒定的状态。但是,在实际中却不会存在这种理想状态,计算机高速数字电路系统运行的过程中,就必须要考虑到电源的电阻和电感因素,而要减少电源面的电阻和电感对电源系统的影响,就必须对其采取降低的处理措施。从当今计算机高速数字电路系统电源材质的分析了解到,电路系统中大多数都是采用大面积铜质材料,如果结合电源系统要求来分析的话,这些材料远远达不到计算机高速数字电路电源的标准要求,这样在系统正常运行的过程中势必会受到一定的影响,对此,要将所有影响因素进行综合性的考虑和研究,可以采用楼电容应用到电路中,这样可以有效的避免或降低电源面电阻和电感对系统的影响,从而有效的提高计算机高速数字电路系统运行的可靠性。

3总结

综上所述,在社会经济快速发展的过程中,电子技术的发展也极为快速,例如本文提到的计算机高速数字电路设计技术,是综合先进的电子技术进行设计的,更为很多行业的发展带来极大的帮助。通过本文对计算机高速数字电路设计技术的分析,作者结合自身多年工作经验,以及自身对计算机高速数字电路技术的了解,主要对影响计算机高速数字电路设计技术的几项因素进行分析,同时也提出了几点改进建议,希望通过本文的分析,对提升计算机高速数字电路系统的运行效率以及促进电子产品的发展给予一定的启发。

数字电路论文范文第4篇

论文摘要:结合高职院校数字电路实验教学现状,以培养学生的电子设计能力、实践能力与创新能力为目标,对数字电路设计性实验进行了研究,提出了构建实验课程体系、加强实验教师队伍建设、完善实验考核机制等措施,取得了良好的教学效果。

随着高职院校实验教学改革的深人,实验教学已成为高职院校教学工作的重要组成部分。实验教学已从过去单纯的验证性实验逐步深人到综合性、设计性实验,从利用实验来加深对已学理论知识的理解,深人到将实验作为学生学习新知识、新技术、新器件,培养学生实践能力、创新能力的重要目的仁‘〕。

1高职院校实验教学存在的问题

数字电路实验是高职院校电子信息类、机电类专业必修的实践性技术基础课程,对培养学生的综合素质、创新能力具有重要的地位。在传统的实验教学中,数字电路实验教学多以验证性实验为主,并按实验指导书的实验步骤去完成实验,这种实验教学模式禁锢了学生的创新思维,失去了“实验”真正的含义,培养出来的学生实践技能差,无法达到高职教育人才培养的要求〔2)0

2开设数字电路设计性实验采取的措施

通过多年来的实验教学改革实践,证明了开设设计性实验有利于巩固课堂所学的理论知识;有利于提高学生电子系统设计能力、综合素质、创新能力[’]。2005年我校电子技术实验教学中心(以下简称中心)以“加强基础训练,培养能力,注重创新”为指导思想,在面向各类专业的数字电路实验教学中,开设了以学生为主、教师为辅的数字电路设计性实验教学,取得了良好的教学效果。

2. 1构建实验教学课程体系

数字电路设计性实验是一种较高层次的实验教学,是结合数字电路课程和其它学科知识进行电路设计,培养学生电子系统设计能力、创新能力的有效途径,具有综合性、创新性及探索性[[4]。数字电路设计性实验是学生根据教师给定的实验任务和实验条件,自行查阅文献、设计方案、电路安装等,激发学生的创新思维。设计性实验的实施过程,如图1所示。

为了提高学生的电子设计能力和创新能力,中心根据高职教育教学特点与规律,构建了基础型、提高型、创新型三个递进层次的数字电路设计性实验课程体系。三个实训模块的内容坚持以“加强基础型设计性实验,培养学生的电子设计能力、创新意识”为主线,由单元电路设计到系统电路设计,循序渐进,三年不断线,为不同基础、不同层次的学生逐步提高电子设计能力、创新能力的空间,如图2所示。

基础型设计性实验是课程中所安排的教学实验,学生在完成了验证性、综合性实验以后,具有了一定的实验技能,结合数字电路的基本原理设计一些比较简单的单元电路,学生按照教师给出的实验要求根据实验室所拥有的仪器设备、元器件,从实验原理来确定实验方法、设计实验电路等,且在规定的实验学时内完成实验。如表1所示。这一阶段主要是让学生熟悉门电路逻辑功能及应用,掌握组合逻辑电路、时序电路的设计方法,培养学生的设计意识、查阅文献等能力。

提高型设计性实验对高职院校来说,可认为是数字电路课程设计。它体现了学生对综合知识的掌握和运用,课题内容是运用多门课程的知识及实验技能来设计比较复杂的系统电路,如表2所示。整个教学过程可分10单元,每个单元为4学时,每小组为一个课题。学生根据教师提供的设计题目确定课题,查阅文献、设计电路、电路仿真、电路安装调试、撰写课程设计报告等,完成从电路设计到制作、成品的全部实践过程。通过这一阶段的训练,学生的软硬件设计能力进一步提高,报告撰写趋于成熟,善于接受新器件,团队协作趋于成熟。

创新型设计性实验主要为理论基础知识扎实、实验技能熟练的优秀学生选做,为“开放式”教学,实验内容主要是结合专业的科研项目、工程实际及全国或省级电子设计竞赛的课题。通过创新型设计性实验,强化学生电子系统设计能力,充分发挥学生的潜能,全面提高学生的电子系统设计能力、创新能力,为参加大学生电子设计竞赛奠定坚实的基础。

数字电路设计性实验课程体系将数字电路基本原理、模拟电路、eda技术等多门课程知识点融合在一起,从单元电路设计到系统电路设计,深化了“系统”概念的意识。在每一轮设计性实验结束后进行总结,开展学生问卷调查,对设计性实验的教学方法、手段等进行全面评估,从而了解设计性实验教学的效果。在实验过程中,实验教师鼓励学生从不同角度去分析,大胆创新,设计不同的方案。

2. 2加强实验教师队伍的建设

近年来,中心依托省级精品课程“数字电路与逻辑设计基础”、省级应用电子技术精品专业建设,合理规划,制定了实验教师队伍培养计划;专业教师定期到企业培训;专职实验教师参加实验教学改革研讨和对新知识、新技术的培训;同时制定优惠政策,吸引企业中具有丰富实践经验的工程师、技师到实训基地担任实验教师tb},形成一支能培养高素质技能型人才、能跟踪电子信息技术发展、勇于创新并积极承担教学改革项目的专兼职结合的实验教师队伍,实现了实验教师队伍的整体优化。

2. 3开放实验室

为了保证设计性实验教学的有效实施,中心实行时间和内容两方面开放的教学方法。学生除了要完成教学计划内指定实验外,还可以根据自己的专业和兴趣,选择规定以外的实验项目。为了提高设计性实验的教学效果,学校制定了系列激励政策,调动了实验教师及学生的积极性。

2. 4建设创新实训室

为了培养学生的电子设计能力、创新能力,给优秀学生营造良好的自主学习环境,提供展现创新设计的舞台,中心先后投人了30多万元,更新了实验仪器设备,建设了一个软件环境优良、硬件条件先进的创新实训室。该实训室配置了计算机、函数信号发生器、频率计、扫频仪、数字存储示波器、单片机系统设计实验开发系统、打孔机、制版机等仪器设备〔7〕。

2. 5完善实验考核机制

对于数字电路设计性实验的考核,不能仅靠一份实验报告或作品来评定成绩,要关注设计方案的可行性、实验过程中学生的操作能力、创新能力等方面。如以100分计,分别从实验设计方案(20分)、实验方案的实施和完善(40分)、设计的创新性(20分)、实验报告或论文、成品(20分)几个环节来评定学生的实验成绩。为了激励优秀学生,激发创新欲望,中心建立了“创新设计性实验优秀论文、作品评奖制度”,对经专业教师评审选出的优秀论文、创新作品的学生给予表彰、奖励。

3结束语

数字电路论文范文第5篇

关键词:EDA VHDL 自动化 数字电路

中图分类号:G71 文献标识码:A 文章编号:1672-3791(2015)11(c)-0033-02

数字电路EDA也是电子信息工程学院各个专业的一门必修课,它是一门实践性很强的课程,是实践教学中不可缺少的重要教学环节,EDA实验使学生了解通过软件仿真的方法可以高效的完成硬件电路设计的计算机技术,初步掌握自顶向下的设计方法、EDA设计流程等,会用原理图输入和硬件描述语言VHDL设计逻辑电路。

数字电路EDA课程是高等院校电气、电子信息类专业的一门重要的实践课程,具有理论性与实践性强的特点,优化该课程的实践教学,对提高课程教学质量至关重要,由注重传授知识向注重培养学生综合素质方向转变,随着大规模集成电路的飞速发展,电子类高新技术的开发也更加依赖于EDA技术的应用,通过实践课程,学生掌握使用EDA工具设计数字电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程。

1 优化课程的实践教学

数字电路课程引入EDA技术,不仅极大地丰富课程选题,而且同一课题出现多种实现方案,提高了学生的创新思维能力,对后续专业基础课程学习、电子设计竞赛、撰写论文等起到了启蒙和引导的作用。

2 综合运用基础知识,解决工程实际应用能力

EDA(Electronic Design Automation)是以计算机为平台,原理图输入法、硬件描述语言(VHDL)为设计语言,可编程逻辑器件为实验载体。

自顶向下的模块设计方法就是从系统的总体要求出发,自上而下地逐步将设计内容细化,最后完成系统硬件的总体设计。设计的三个层次如下。

第一层次是行为描述。实质上就是对整个系统的数学模型的描述(抽象程度高)。

第二层次是RTL方式描述,又称寄存器传输描述(数据流描述),以实现逻辑综合。

第三层次是逻辑综合,就是利用逻辑综合工具,将RTL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)。在门电路级上再进行仿真,并检查定时关系。

完成硬件设计的两种选择,由自动布线程序将网络表转换成相应的ASIC芯片制造工艺,做出ASIC芯片。将网络表转换成FPGA编程代码,利用FPGA器件完成硬件电路设计。

3 应用实例

首先建立一个新的工程,然后建立新文件并输入如下的代码:

module sled(seg,dig,clock,rst_n,);

input clock;

input rst_n;

output [7:0] seg;

output [3:0] dig;

reg [7:0] seg_reg;

reg [3:0] dig_reg;

reg [3:0] disp_dat;

reg [36:0] count;

always @ (posedge clock )

begin

if(!rst_n)

count = 37'b0;

else

count = count + 1'b1;

dig_reg= 4'b0000;//

end

always @ (count[3])

begin

disp_dat = {count[7:4]};

end

always @ (disp_dat)

begin

case (disp_dat)

4'h0 : seg_reg = 8'hc0;

4'h1 : seg_reg = 8'hf9;

4'h2 : seg_reg = 8'ha4;

4'h3 : seg_reg = 8'hb0;

4'h4 : seg_reg = 8'h99;

4'h5 : seg_reg = 8'h92;

4'h6 : seg_reg = 8'h82;

4'h7 : seg_reg = 8'hf8;

4'h8 : seg_reg = 8'h80;

4'h9 : seg_reg = 8'h90;

4'ha : seg_reg = 8'h88;

4'hb : seg_reg = 8'h83;

4'hc : seg_reg = 8'hc6;

4'hd : seg_reg = 8'ha1;

4'he : seg_reg = 8'h86;

4'hf : seg_reg = 8'h8e;

endcase

end

assign seg=seg_reg;

assign dig=dig_reg;

endmodule

保存后,再编译,之后选Tools->Run EDA Simulation Tool->EDA RTL Simulation进行仿真。最后配置引脚,下载并运行。

4 营造良好的实践教学环境并建立科学的评价方法

基于EDA技术的数字电路实践教学主要由计算机,EDA软件开发工具,可编程芯片及实验硬件开发系统组成,该院已建有EDA 实验室,配有多台安装Quartus开发软件的PC机,为每人或者小组完成课题提供良好的实验条件。

如何评价设计成果,客观,合理的给出成绩,既能反映出真实水平又能激发学生的学习积极性和创新意识,不以最终结果正确性作为评价的唯一标准,而对设计过程的每个环节都给出量化的评分标准。

5 结语

数字电路实验中引入EDA技术,蕴含着数字系统设计的新思路、新方法,代表了现代数字系统设计的方向,EDA技术采用“自上向下”设计数字系统的方法,通过设计逻辑功能模块来实现数字系统功能,不仅大大提高了工作效率,而且提高了系统的可靠性,使设计更加灵活,学生在大二期间,就能够通过数字电路EDA实验,掌握EDA技术,对将来后续课程的学习,以及对学生提高创新能力,工程设计能力都是十分有利,数字电路EDA实验中应用EDA技术可使学生突破硬件资源,制作耗时的限制,充分发挥想象力和创造性,设计出别具特色的作品来,使课程设计的效果大大提高,应用EDA技术设计数字电路,可为实验的选题拓宽范围,增加了课程的趣味性、综合性、创造性,以不同类型,不同难度的设计任务供学生选择。

参考文献

[1] 邹虹.数字电路与逻辑设计[M].北京:人民邮电出版社,2008.

[2] 白雪梅.数字电子技术实验教程[M].北京:电子工业出版社,2014.

数字电路论文范文第6篇

关键词:数字电话设计;抗干扰技术;分析

科学技术不断发展,促进了电子设备的不断提高,现在人们广泛应用电子设备,尤其智能手机的应用,其用户不断增加,用电设备密度不断增加,在空间应用过程中,可能造成电磁环境的不断恶化,电子设备之间可能造成干扰,影响电子设备的正常工作,必须提高电子设备之间的抗干扰性能,因此我们在数字电路设计的过程中,采用数字电路集成电路的方式进行提高抗干扰性能,利用科技手段,不断提升抗干扰能力,符合现在数字电路设计的发展趋势。

1硬件抗干扰技术在数字电路设计环节的应用

1.1安全接地技术

安全接地技术是一种常用的技术,把机壳接入大地,让电量转移到大地,减少电荷积累情况,减少因为静电等原因造成人与机械设备等受到安全影响。设备装置在实际应用过程中,绝缘层可能出现破损等现象,就可能造成机壳带带电,这时候的电量是足够大的,不能及时转移,可能造成严重的后果,利用安全接地技术可以把多余电荷转移出去,还能及时切断电源等,对其安全性能起到保护作用。

1.2避雷击接地技术

用电设备基本都需要采用避雷击效果,一般通常采用避雷针,当出现雷击的情况下,可以进行电荷的转移,下雨天气打雷时候,出现雷击的情况是产生电荷的,一旦遇到用电设备等,瞬间可以产生大量的电荷,对周围人和物产生损害现象,必须采用技术及时转移电荷,减少对人的伤害,对用电设备也起到保护作用。

1.3屏蔽接地技术

屏蔽接地技术是一种常用的对用电设备的保护作用措施,在实际应用过程中,也是设计人员经常采用的方式,具有一定的应用价值。屏蔽技术需要和接地技术配合使用,其屏蔽效果才能够提升。像是静电屏蔽技术。若是在带正电导体周围围上完整的金属屏蔽体,则于屏蔽体的内侧所获取的负电荷将会等同于带电导体,同时外侧所存在的正电荷也和带电导体等量,这就造成外侧区域仍旧存在电场。若是对金属屏蔽体进行接地处理,那么外侧的正电荷可能会流入大地之中,则可以消除外侧区域的电场,也就是金属屏蔽之中将会对正电导体的电场进行屏蔽处理。屏蔽接地技术的应用,在技术上起到革新作用,在应用过程中,起到重要保护作用,具有一定现实应用价值。

2软件抗干扰技术在数字电路设计环节的应用

2.1数字滤波技术

数字滤波技术是一种仿真技术,基于硬件设备的仿真技术,但在实际应用过程中,不依赖硬件技术,只是通过模拟技术进行设置,实现数字滤波。在具体应用过程中,先借助于硬件技术进行干扰技术的应用,减少干扰性能,在具体通过软件进行有效的滤波,起到真正的数字滤波技术,减少抗干扰能力。数字滤波技术的方法有多种多样,我们在应用过程中,需要根据实际情况,选择适应的数字滤波技术的处理方式,起到真正数字滤波作用,在数字电路设计的过程中,利用软件技术进行有效应用,是设计环节中的重要步骤。

2.2软件“看门狗”的使用

软件程序在应用过程中,往往容易出现死循环等现象,在数字电路设计过程中,设计者要考虑这方面问题,采用“看门狗”技术,防治程序死循环现象发生。硬件看门狗就是一个定时器对系统进行有效的监控,合理的根据监控情况进行有效处理,起到看门狗的效果。

3实例论述

3.1通过硬软件技术促使计算机系统脱离死态

为了使干扰问题得到及时的解决,在硬件方面可以使用一个硬件计时器,

3.2程序“跑飞”阶段进行数据保存的硬软件办法

由于计算机系统在被强电磁干扰或影响之后,计算机系统之中正在正常运行的程序或许会被打乱,进而在内存中出现转移情况,同时这种转移是不能被控制的,也就是发生“跑飞”情况。该问题的出现或许会造成确保软件正常运行的重要参数被破坏、冲掉。通过硬软件结合措施、方法的运用,能够在出现断电事故或者是发生强干扰情况之后,使各重要参数得到保护,从而使系统的连续运转或者是再恢复获得可靠的保证。

参考文献:

[1]刘海权,田露,宋立业.传统光电编码器防震动抗干扰电路的优化[J].电气技术,2015(12).

[2]杨昆.综述单片机控制系统的抗干扰设计[J].黑龙江科技信息,2016(04)

[3]李娜.数字集成电路低功耗优化设计解析[J].通讯世界,2016(15).

[4]王剑锋.DCS控制系统抗干扰分析[J].通讯世界,2015(19).

[5]姚年春,徐涛.电机保护装置的抗干扰措施设计[J].信息技术与信息化,2014(04).

[6]熊轶娜,吴跃明,陈洁.数控机床控制系统的抗干扰分析[J].组合机床与自动化加工技术,2009(08).

[7]陈友明,黄运生.DSP系统抗干扰技术的分析[J].现代计算机(专业版),2008(09).

[8]吕强.单片机应用系统的抗干扰技术[J].科技信息,2007(01).

数字电路论文范文第7篇

【关键词】FPGA;数字电路;计算机测控;故障诊断

1.引言

当今社会数字电路已经遍布人们生活的各个角落,数字电路广泛应用于通信、娱乐、工业生产等不同领域。随着数字电路的不断发展,电路的测试和故障诊断成为了其设计和生产维修的重要组成部分。目前国内部分国防单位使用的数字电路板具有高性能、高功耗、高集成度等特点,比如战斗机上从俄罗斯等国引进的实现关键功能的数字电路板[1]。而使用年限及次数等因素不可避免的会对这些电路板造成损伤,导致包含这些数字电路板的设备无法正常使用,因此对数字电路板的性能测试及故障检测尤为重要。

2.系统结构

2.1 系统总体结构

本文介绍了一种采用向量法对数字电路进行故障诊断的系统,通过向被测电路发送测试向量,接收被测电路对激励的响应结果,然后将该结果与正常工作电路的相应结果进行比对,从而验证被测电路的功能以及诊断故障[2]。该系统兼容目前主流的采用TTL,CMOS等电平逻辑的数字电路。其系统结构如图1所示。

图1 系统硬件结构

本系统主要由计算机和8个下位机子系统两部分构成。每个子系统包含32路IO端口,负责完成测试向量的发送和接收,计算机根据用户建立的测试任务,控制下位机子系统,并显示处理被测数字电路的响应结果。系统选用PXI6534来完成计算机与下位机子系统之间的数据通信,PXI6534是NI公司的一款高速数字IO卡。它将复杂的PXI总线转换为相对简单的16位同步并行总线供下位机设计人员开发,而为上位机设计人员提供了大量API函数从而简化底层驱动的开发过程,让设计人员将更多精力集中在其它应用功能上的开发。各个子系统通过母板挂接到16位数据总线上。由于PXI6534的数字IO驱动能力有限,本系统通过母板来提高数据总线的驱动能力,并且进行电平逻辑的转换和提供同步时钟。

2.2 下位机子系统结构

子系统根据用户设定的测试任务,完成对某种数字电路的检测诊断,并缓存被测电路的响应结果,其结构如图2所示。

图2 诊断检测子系统结构

子系统包括FPGA核心模块、数据缓存模块(SDRAM)、发送调理电路、接收调理电路和参考电压模块。通过FPGA进行指令和数据的读取,根据测试任务所需的测试向量的电压范围设置参考电压,并且将待发送的测试向量的数据存入发送缓存SDRAM。测试开始后,FPGA从发送缓存SDRAM读取数据通过发送调理电路,同时将接收调理电路接收的被测电路的响应数据存入接收缓存SDRAM。测试完成后,FPGA从接收缓存SDRAM中读取测试结果通过数据总线上传给计算机。

2.2.1 控制芯片

本系统选用ALTERA公司CycloneIII系列芯片EP3C25F324C8作为下位机子系统的主控制芯片。该芯片具有215个可编程IO口,24624个逻辑单元,4个锁相环。相比于ARM,DSP等主流微处理芯片,FPGA具有更高速度的优势,且其内部PLL锁相环资源可以为系统提供不同的工作频率。FPGA丰富的IO资源可以满足系统在测试多输入输出的数字电路时的需求。

FPGA是整个数字电路故障诊断系统下位机子系统的核心,测试向量的发送和接收,以及与计算机的通信均由FPGA编程实现。

2.2.2 发送调理电路

发送调理电路完成测试向量的电压转换,由于FPGA输出的是0和3.3V的电压,为满足测试不同数字电路的需求,需要将FPGA输出的电压转换为被测数字电路所能识别的电压。本系统选用,系统采用Intersil 公司的高性能管脚驱动芯片EL1056 作为发送驱动芯片,可输出电压范围-12V~+12V,驱动电流可达140mA,最高频率可达60MHz。

2.2.3 接收调理电路

接收调理电路实现对被测数字电路响应向量的比较,将响应向量转变为FPGA兼容的电平。系统采用Intersil 公司的EL2252 作为接收比较芯片,该比较芯片含有两个独立的比较器,带宽可达50MHz,电平范围为-12V~+12V,电路原理如图3所示。

图3 接收调理电路

通过两个比较器将被测电路的响应向量分别与VH、VL高低两个电压比较,得到vec1和vec2。当vec1=1,vec2=1时,则响应结果为高即逻辑1;当vec1=0,vec2=0时,则响应结果为低即逻辑0;当vec1=0,vec2=1时,则响应结果为高阻。通过两个比较器实现了对被测数字电路响应向量的三态判断。

2.2.4 参考电压模块

参考电压模块由D/A转换电路和偏置放大电路两部分组成。本文选用8为D/A芯片AD8801来完成数模转换,该芯片含有8个模拟输出通道,有效的提高了系统的集成度。

2.2.5 数据存储模块

系统选用SDRAM作为测试向量发送和接收的存储模块,SDRAM具有读写速度快,存储容量大,价格便宜等优点,已经广泛应用在数字电路领域。本系统选用两片位宽为16位、容量为64MBits(4MBits×16)的MT48LC4M16A2TG-75组成容量为128MBits(4MBits×32)的存储单元,该芯片最高读写时钟频率可达133MHz。

3.软件设计

3.1 下位机程序设计

本系统下位机硬件驱动程序使用Verilog HDL语言,在Quartus II开发环境下设计完成。Verilog是一种硬件描述语言,采用模块化的编程方式进行设计。工作流程如图4所示,程序上电启动,首先进去自检流程,检测系统自身的健康状态,以保证系统能正常运行。自检流程完成后等待计算机发出指令,识别不同指令完成测试任务。

通道状态设置。FPGA根据测试任务,设置某一路IO通道的状态,选择是否从该路通道接收或发送测试向量。各个通道均可独立设置,系统可以根据被测对象灵活的选用不同的IO通道。

接收带发送数据。计算机将待发送的测试向量数据下传给下位机子系统,FPGA将这些数据暂存在SDRAM中。

发送频率初始化。FPGA进行分频,设置本次测试任务发送和接收测试向量的频率。

参考电压初始化。设置IO通道发送和接收测试向量的高低电压值。

开始测试。FPGA从发送缓存中读取测试向量的数据,通过IO通道发送。同时将接收到的响应数据存入接收缓存中。

上传接收数据。FPGA从接收缓存中读取测试结果,通过16位数据总线上传给计算机,以便显示和比较。

图4 系统工作流程

图5 计算机界面

整个程序包括以下几个模块:①主程序模块。实现与计算机的通信,接收和上传数据,识别计算机所发出的指令,控制其他模块配合完成测试任务。②D/A控制模块。控制D/A的输出,设置测试任务所用的参考电压。③SDRAM控制模块。控制SDRAM的刷新和数据存取。④分频模块。为发送和接收测试向量提供不同的频率,采用整数分频,半整数分频和小数分频结合的方式提高频率调节的分辨率。⑤向量发送接收模块。控制测试向量的发送和接收。

3.2 计算机应用程序

本系统采用LABVIEW构建人机交互界面。如图5所示。LABVIEW是一种图形化的编程语言,利用了计算机的强大性能,在设计计算机应用程序界面是非常方便。由于本系统采用了NI公司的高速数字IO板卡,NI公司提供了该数字板卡在LABVIEW环境下的API函数,为编程提供的方便。

4.结论

论文设计了一种通用数字电路故障诊断系统,该系统具有以下优点:拥有256路独立I/O,输出频率可达50MHz,输出电压在-6V~+9V内可调,可以满足目前大部分数字电路的诊断需要。

参考文献

[1]胡敏明.几种典型的数字电路测试技术[Z].杭州:电子科学,2009:17-18.

[2]郭希维,苏群雄,谷宏强.数字电路测试中的关键技术研究[J].科学技术与工程,2006,6(18):2904-2905.

[3]黄鑫,常天庆等.数字电路板自动测试与故障诊断系统的设计与实现[J].计算机测量与控制,2010,18(7):1512-1514.

[4]贺,周剑奇等.基于PXI总线的小型化数字电路故障诊断系统设计方法研究[J].计算机测量与控制,2010,18(2):323-325.

[5]秦福星,周庆年,安江波等.基于测试向量的数字电路故障诊断[J].船电技术,2010(10):47-48.

数字电路论文范文第8篇

关键词:数字电路;现代化教学;教学研究

中图分类号:G642.0 文献标志码:A 文章编号:1674-9324(2016)22-0106-02

一、数字电路课程教学的现状

数字电路课程作为电子、计算机等相关专业的基础课程之一,一直是高校相关学科教学的重要内容,在多年的教学过程中形成了一系列非常成熟的教学方法和内容,产生了很多经典的教材。然而,随着电子行业本身技术的飞速发展,以及互联网在教育领域的普及和应用,传统的数字电路课程的教学方法体现出越来越多的问题,具体表现在以下几个方面:

1.学生的学习兴趣大幅度下降。数字电路课程通常在开在大一或大二阶段,一般是学生还保持较高学习兴趣的阶段。但上课时可以看到,学生在课堂上的参与度越来越低,经常睡觉、看手机,对于教师讲课的内容往往并不关心,在课程教学的后期,出勤率也越来越低,说明越来越多的学生对本课程的学习失去兴趣。

2.学生的学习效率不高。主要体现在,相关内容在教师进行反复讲解和后,仍然有许多学生并没有真正理解,课后还会询问同意的问题;此外,许多时候学生表示自己已经听懂相关内容,但在作业以及考试过程中仍然做错,表明学生对相关内容的掌握比不如他们自身认为的那样乐观。

3.某些相关学科的管理人员开始质疑数字电路课程的重要性,并开始尝试削减数字电路课程的教学时间和学分。一些专业在专业培养方案制定过程中提出将数字电路的课程从54学时消减到48学时甚至是32学时,对数字电路课程教学带来极大的挑战。

二、相关问题产生的原因

我们认为导致传统数字电路课程教学面临越来越大的挑战的原因很多,但归结下来,主要集中在以下几个方面:

首先是教学内容的陈旧。目前的数字电路的课程基本教学思想还是与二十年前数字电路的教学思想相同,即以中规模逻辑芯片为基础,讲解其基本功能和使用方法。但随着微电子技术的发展和进步,目前数字系统的设计和实现日趋复杂,普遍采用语言描述和系统设计的方法,基于中规模芯片实现逻辑电路的场景已经被淘汰。虽然某些新的教材引进了VHDL的相关内容,更换教材名称,但本质上是换汤不换药的做法。换言之,目前的数字电路课程已经不能够给学生后续课程的学习提供有效的基础知识,这是数字电路教学所面临最严峻的挑战。

其次是现有的教学方法和手段无法有效地帮助学生进行学习。随着多媒体技术和PPT技术在教学中的应用,传统的教学方法和教学手段得到极大地改变。PPT的引入降低了教师讲课的工作量,有助于教师在课堂讲授更多的知识。然而这种教学法带来一个很大的弊端就是学生与教师的互动大幅度降低,课堂沦落为学生听教师念PPT。教师仅仅关注于“教”的过程,学生“学”的过程实际上是在教师掌控之外的。教和学的脱节,导致学生学习效率的大幅度降低。另一方面,由于高校教师承担繁重的科研任务,一般是无法批改学生作业,因此,对学生学习的效果无法监控,不了解学生学习过程中真实的难点和痛点,无在教――学――习三者之间有效的反馈闭环,及时解决教学中存在的问题,导致学生学习中的问题和困难越积越深,最终失去学习的兴趣和信心。

再次,在目前的高校对教师的考评过程中,重科研、轻教学的风气很重。许多老师将教学活动看作负担,而将主要的精力投入到科研活动中。在他们看来,教学是一个重复的体力活动,既没有什么意义,也没有什么回报。在学校的年终考评中,重视的是数,项目经费数,少有人关心教学行为。而重视教学的教师,虽然受到学生的欢迎,但在职称晋升和绩效考核方面都非常吃亏,使得老师,特别是年轻教师更加厌恶教学活动,进而导致教学质量下降,学生也对上课失去兴趣。

最后是数字时代和互联网技术的发展改变了现代教育的内涵和意义。传统的教学注重的是知识的传承。但在数字信息时代,知识的数量以指数的形式增加。很多知识在短短几年内就得到淘汰和更新,因此,注重知识的教授是无法满足数字时代对高等教育提出的要求的。互联网时代,关注的是人的各种能力而非拥有的知识。因此,新时代的高等教育应当更加重视学生能力的培养,因此传统的教学模式和方法面临着变革。而教师的角色,也在发生变化。对于数字电路课程的教学来说,也面临同样的问题。

三、可能的解决方案

对于前一部分提出的目前数字电路课程教学中的问题及原因,许多教育工作者做出了很好的探索工作[1-3],在这里从电子系专业的教学思考出发,分析数字电路课程教学过程所需要解决的问题[4],给出了一些自己的思考。

首先是对教学内容进行相应的更新,即在教学过程中,注重课程逻辑和工程方法的介绍和讲解,不拘泥于具体知识点的教授,特别大幅度减少具体器件型号的功能和使用的内容。增加VHDL内容的介绍以及数字电路设计思想的介绍,介绍对学生有用的知识,介绍比较新的知识,让学生明确这些知识对他们今后的学习和工作有用,这样才会激发他们的学习兴趣。

此外,在数字电路课程的配套实验课中,应当增加关于FPGA芯片设计和使用的内容,大幅度删除传统中规模芯片的实验内容。这样,有利于学生学习最新的知识,同时也有助于学生后续课程的学习,以及参加相关的科技竞赛活动,最终提升学生的动手能力。

其次是改进教学方法,在教学的环节中让学生参与进来。不论学生是主动或者是被动地参与课堂教学,他都会提升课堂关注度,提升学习兴趣,提高学习效率。同时,课堂学习效率的提升也有助于降低学生课后的学习负担,使得学生学习形成正反馈。在课堂结束后,关注学生的作业情况,通过作业情况,了解学生在课堂上的学习效果,并据此修正自己的教学计划和方法。

再次,将上课不再看作单独的教学过程,而看作一种特殊的科研过程。既是提升教师教学兴趣的一种方法,也可以有效改进教学质量,提高学生学习效率的手段。在这个过程当中,教师的研究题目是如何使得学生更高效地利用学校提供的资源提升学生自身在某个方向的能力,因此教学过程将不再是一成不变的周而复始的讲课劳动,而是动态的、变化的学生进步的过程。学生在教师有针对性的教学行为中,也能够更有效地提升自己。

最后可以采用新的网络技术来帮助学生学习。比如将相关课件共享在网上,使得学生可以在课后进行相关复习。利用网络资源,可以获得大量的习题,选择其中合适的习题,对学生进行有针对性的练习,可以帮助学生掌握所学的知识和方法,提升学生解决问题的能力。除此之外,针对具体的知识难点和问题,制作短视频,这样给学生在课后复习课程内容提供帮助,部分学生上课没有理解清楚的问题可以通过视频来得到解答,有效解决因学生个人能力差异造成的学习进度不同带来的教学难题。

四、教学实践及后续思考

在具体的教学活动中,笔者尝试采用一些具体的方法来实践上述想法。主要有以下几个方面:

1.让学生参与教学活动。即在上课过程中,尽可能地让学生参与教学过程。比如让学生回答一些刚刚学过的内容,在例题讲解过程中,某些涉及到学生学过的知识点的步骤采取让学生主动解答的方式;允许学生相互之间讨论和交流,激发学生的学习热情。将学生在课堂上的参与行为与学生的平时成绩挂钩,激励学生参与学习。

2.重视例题和练习环节,即重点通过例题讲解来让学生掌握相关知识点,接着通过及时的练习让学生熟练运行这些知识去解决相关问题,并解答他们解决问题过程中出现的问题。这样,学生的学习兴趣可以得到提升,同时学习效率也有提高。

3.重视作业和考试的反馈行为。将作业和考试的结果利用起来,作为教学效果的重要分析指标。作业通过助教反馈学生的主要错误,来及时获得教学效果的评估,并修正教学行为。试卷成绩则可以通过统计分析的方法,明确自己在整个学期教学行为中的不足和缺陷,并在下一学期的教学行为中予以改进。

4.重视教学科研活动,即测试不同的教学方法的教学效果,不断改进自己的做法,及时总结不合适的尝试,并分析原因,在下一个教学活动中对教学行为予以改进,以获得更好的教学效果。

在采用上述方法后,比较明显的收获是对学生的学习进度和现状有一定程度的了解。学生在教学初期的不积极得到一定的改善。说明上述方法是有效的,但在今后的工作中仍然需要进一步地改进,并采用新的手段来帮助学生进行数电课程的学习。

参考文献:

[1]钱月,宋春丽.浅谈信息技术与数字电路课程的整合[J].科技信息,2010,(03).

[2]宋伟,朱幼莲.“数字电路”课程设计教学改革探索[J].江苏技术师范学院,2011,(08).

[3]岳小力,张晓鹏.数字电路的实验教学改革与探索[J].计算机教育,2014,(02).

数字电路论文范文第9篇

关键词:双语教学;数字电路课程;教学模式;国际化

中图分类号:G642.0 文献标识码:A 文章编号:1007-0079(2014)36-0097-02

在高等教育资源日趋全球化的今天,中国高等教育的国际化双语课程的教学改革刻不容缓,具有非常重大的意义。根据《国家中长期教育改革和发展规划纲要(2010-2020年)》精神和《教育部关于进一步深化本科教学改革全面提高教学质量的若干意见》[1],为了培养具有综合素质的国际人才以及与国际高等教育接轨,全国各地相继开展了一系列的双语课程改革工作,但是双语教学在本质上很容易停留在“中译英”式的教法,或是单纯参照英文原版教材的照本宣科。面对中国学生普遍科技英语基本薄弱,教条思维缺乏学习主动性等问题,适用于高校学生高等教育又达到国际水平的专业基础课双语教学仍面临巨大的挑战。为此,国内从事双语教学研究的专家和学者进行了不断地深入研究,有从教材的选取进行探索[2],有从学生的学习兴趣进行分析[3],有从多元化的教学手段进行探究[4],有从课程的整体设计进行思考[5],有从阶段性教学过程进行讨论[6],这些都为我国专业基础课双语教学的发展打下了基础并提供了宝贵的经验。但是真正达到国际水平的双语教育,即既能利用我国基础教育的优势为国际学生提供高等教育,又能利用国外先进教学理念服务我国高等教育学生的教学研究还比较少。国际化的双语教学模式是中国高等学校能否为在未来大量培养国际人才以及在国际教育界占有一席之地的关键。

由美国能源部项目资助,笔者于2010年开始在美国怀俄明大学电子工程系进行了博士研究生的学习,近距离深刻体验了美国高等教育的现代化教学方法。结合美国高等教育的特点,为提高我国数字电路专业基础课国际化双语教学质量,在课程体系和教学模式创新上进行了一些探索和思考。

一、开拓视野,完善国际化的课程建设

“数字电路”的教学在原有知识结构的基础上,需要补充国外“数字电路”教学的最新成果以及国际上数字电路技术最新研究的应用实例,结合学院人才培养的具体要求以及国际化课程的建设要求,不断创新完善教学理论,明确教学目标,建设达到国际水平的双语课程。

1.推进电类专业英语先修课程,过“语言关”,优化教学结构

在教学大纲的指导下,完善“数字电路”双语课程的课程体系。考虑“数字电路”作为电气专业以及所有理工科学生的一门专业基础课,双语教学的顺利实施离不开学生对于“数字电路”相关专业英语的掌握。所以电类专业英语先修课程是非常必要的,建议可安排在大学一年级进行,理工科基础知识(包括数学知识)的专业英语教学是国内学生非常需要的。前期的专业英语课程教学不仅关系到后续课程,如数字电路,模拟电路等一系列专业基础课双语教学的顺利开展,还与学生的专业文献阅读以及科技论文写作密切相关。在教学过程中,发现不少学生诸如微分,积分的英语表达都没有完全掌握,专业英语基础知识薄弱。在电类专业英语课程中,让学生提前掌握相关的专业英语术语,英语句式表达以及专业课中所涉及的词汇,使学生在学习“数字电路”双语课程前真正过了“语言关”,为后续数字电路的双语教学节省了大量的英语教学时间和精力,大大优化教学效果。同时,英语国家的国际留学生则无需选修此专业英语课程,直接进行“数字电路”专业基础课的学习,在整个教学大纲中,也无需为了区别对待中国学生和国际学生准备两套“数字电路”的教学方案,优化了国际化的教学结构。

2.科学的“数字电路”双语课程体系,理论联系实际

“数字电路”课程所涉及的电子器件,电路原理较多,学生在学习过程中较难全面,深入的掌握基础知识。同时教学内容中内部电路分析的理论性强,不易理解,造成学生在不清楚基础原理的情况下,对教学内容死记硬背,不仅失去了学习的兴趣,又使得教师的教学成果和学生的学习效果欠佳,所以建立一个科学完善的课程体系十分重要。

针对课程知识点多和技术应用性强的特点,在查阅、总结、归纳、吸收国内外数字电路专业基础课相关教学资料和最新教学成果的基础上,完善现有的教学大纲。在巩固数字电路主要知识点的基础上,引入能够说明基础原理的应用实例,通过对实例的分析,进一步巩固所学的基础理论知识,并通过实验课和课程设计的具体实践进一步加深对理论知识的理解和掌握。最后形成应用说明理论,理论引导实践,实践又证明理论的课程体系,使得教学过程从应用中来,回应用中去。

3.美式+中式双语教材建设,突出综合性和前沿性

“数字电路”双语课程的成功与否与教材的选用有着直接的联系。一般的专业课双语教材包括中译英教材,英译中教材和英文原版教材这三种。中译英教材优点在于最大程度地保留了中文教材的基础理论知识精华,缺点在于不易翻译,没有在实质上造就这门课的英语环境,内容和方式上仍为中式教育;英译中,即选用英文教材,但是用中文进行授课,这在一定程度上开阔了学生的视野,但很容易在授课过程中偏离主题,将大量精力用于解释英语专业词汇和句型上。同时,学生在中文的环境中也难以养成用英文思考问题的习惯;英文原版教材是目前应用最广泛的,此类教材用于有语言背景的国际学生授课是没有问题的,但是国内学生由于一直对英文教材接触较少,一时之间难以适应,加之课程本身知识点多,原理较复杂等特点,学生在学习初期很容易丧失信心和学习兴趣。因此以上的这些教材都没法做到兼顾国际化又因地制宜。双语课程教学的意义之一就在于学会用英文的视野看问题,用英文的思维方式思考问题。因此,较为合适的双语教学教材应该是以英文原版教材为基础,结合中文教材补充内容和实际应用实例的自编教材,这种“美式+中式”的自编教材。这种综合性的自编教材,在达到英文版教材的国际水平下,还最大限度地保留了中文数字电路教材中的精华部分,同时也兼顾了实际应用的说明。另外,根据数电技术的发展,在教材改版中可以在应用实例部分很轻松地改编或是添最新沿应用实例,使得教材与时俱进,与国际的前沿技术发展接轨。

二、教学模式的改革和探索

1.课堂教学把握重点,启发学生思考

“数字电路”课程具有知识更新快,教学内容多,学时有限,教学难度大等特点[7]。课程的双语教育在教授学生专业基础知识的同时还要兼顾培养学生的英文思维习惯,所以教学任务是比较重的。在有限的学时内,需要改革传统的填鸭式教学方法,紧握教学重点,积极倡导启发式教学与师生互动。在教学过程中,以生活实例或是最新科研实例作为知识点教学的切入点,帮助学生从实例中了解基本原理知识,再引入专业知识点的详细讲解,最后归纳总结知识点的应用性。做到学以致用,从生活中来,到生活中去。这种教学方式可以大大激发学生的学习热情,启发学生的自主思考,在轻松的学习氛围下理解掌握晦涩难懂的知识点。例如,在讲解时序逻辑电路内容时,先引入交通红绿灯的实例,帮助学生了解十进制计数器,之后对于计数器和寄存器工作原理的进行详细讲解,最后留给学生思考如何利用74LS160实现八进制计数器等问题。在整个教学过程中,利用从应用到理论再到应用的教学方式,反复加深了学生对于知识点的理解与记忆,同时做到学以致用,锻炼了学生对于知识的应用能力。

2.教学方式多样化,培养学生主动学习习惯

学生是教学活动的主体,学生对于所学知识的接受程度,认可程度和欢迎程度很大程度地影响了最终的教学效果。因此,“数字电路”双语课程的教学需要增强教学方式的多样化,激发学生的学习热情,培养学生的主动思考能力。在教学过程中,为了突破学生习惯被动接受知识的现状,教师应当就某些原理,知识点进行提问,引发学生思考。鼓励学生通过主动提问的方式来进行学习,通过提问环节促使大部分同学积极参与到课程知识的思考中来,充分调动学生学习的主动性,当学生慢慢接受了这种教学方式之后,就能自然而然养成主动思考,主动学习的学习习惯。

3.课程考核多样化,提高学生综合素质

中国式教学的特点还是以期末考核为主体,采取“一卷订成绩”的主体考核方式。要彻底改变学生只在考前临时抱佛脚的学习情况就必须彻底改变我们的课程考核制度。借鉴美国理工科高等教育的专业课课程考核模式,“数字电路”双语课程的考核标准如表1所示。此考核标准具有以下两个特点:

(1)覆盖面广,考核全面。考核包括家庭作业,期中/期末考试,实验课,课程设计多项内容,并且每项内容都要占到总成绩的一定比例。简而言之,根据每项内容所占比例,这就意味着学生不能“偏科”,仿照以往的学习方式,如果放弃其他部分的学习,只偏重期中/期末考试是连及格水平也无法达到的。每项内容较为平均的配比方式使得学生必须重视每一项考核内容,特别增强了学生对于平时学习的投入程度。课程的两次期中考试可以阶段性的激励学生即时巩固基础知识点,避免出现边学边忘的情况。

(2)理论与实践并重,考核综合学习情况。国内学生动手能力差,独立思考能力薄弱一直是我国高等教育与国际先进高等教育的差距所在。归根结底,传统的考核制度偏重理论基础知识的考察,没有足够重视对于学生独立思考能力,表达能力与动手能力的考察。改革后的课程考核标准做到了理论考核与实践并重,缺一不可。另外,课程设计与实验课中的分组合作锻炼了学生的团队科研协作能力,课程设计中的分组汇报,也将考核中国学生最薄弱的口头表达能力,激励学生在个人演讲能力上达到国际化水平。

表1 课程考核标准

考核次数 考核形式 所占比例

家庭作业 10 开卷 20%

期中考试 2 闭卷 10%

期末考试 1 闭卷 26%

实验课 6 实验报告 24%

课程设计 1 实验设计+设计报告+分组汇报 20%

三、结语与体会

在输送国际化人才和立足国际教育舞台的大背景下,“数字电路”双语课程教学改革为培养国际化的综合素质人才以及增强我国的国际教育能力做了积极有益的探索。第一,在教学体系上进行改革与国际接轨,使得“数字电路”课程教学既能适应国内高等教育的要求,也能达到国际高等教育的标准。第二,引入美式教育理念开展教学方式多样化,培养学生学习的自主性,增强师生互动。第三,推行国际化的课程考核标准,以理论与实践并重为原则,注重学生的综合素质,培养具有国际竞争力的复合型人才。

参考文献:

[1]叶林.新能源发电专业英语课程双语教学探索[J].中国电力教育,2013,(30):115-116.

[2]范必双,何纯芳,王玉凤.电类专业课程双语教学的探讨[J].中国电力教育,2014(18):40-41.

[3]汝彦冬.应用型本科院校“数字信号处理”双语教学改革探索[J].中国电力教育,2014,(14):112-113.

[4]曲伟,邱成军,等.双语教学在数字电路教学中的应用[J].教学改革,2014,(2):34-35.

[5]杨保华,李淮江,等.《数字电路》开展双语教学的实践与探索[J].淮北煤炭师范学院学报(自然科学版),2008,29(2):81-83.

[6]李岩,姜静,等.四位一体的“电路”课程双语教学模式探索[J].中国电力教育,2014,(12):59-60.

数字电路论文范文第10篇

【关键词】“成就式”教学模式 成就感 电子类专业

笔者通过近几年的调研与观察发现,电子类专业学生对本专业“厌学”现象比较普遍,且有逐年递增的趋势。部分学生的专业知识学习过程是“被动”学习,学习态度也极不认真,没有钻研精神;部分学生虽然会“主动”学习,学习态度认真刻苦,但其对自己未来的专业职业前途感到迷茫且没有自信。文章就产生这些心理状态的原因进行了分析,提出了“成就式”教学模式,以期激发学生对专业学习的兴趣及对专业知识的好奇心。以“数字电子钟逻辑电路”为例

一、设计思路

数字电子钟是一种日常生活中学生常接触的一种电子产品,人们使用的比较频繁,把数字电子钟作为练习项目,容易调动学生的学习积极性。数字电子钟利用数字电路来显示时、分、秒的计时装置,它具有显示直观、走时准确、无机械传动装置等优点,因而比传统的机械钟更实用,得到广泛应用。

二、学情分析

(一)学生已经熟悉了数字电子钟的电路组成图以及具备了石英晶体振荡器和分频器组成的秒脉冲发生器的工作原理。

(二)学生熟练校时电路;清零装置;六十进制的秒、分计数器及二十四进制的时计数器;以及秒、分、时的译码显示部分等的应用与安装。

三、教学目标

(一)知识目标

1.会用中小规模集成电路设计一台能显示时、分、秒的数字电子钟。2.掌握秒、分为00――59 六十进制计数器,时为00――23 二十四进制计数器使3.掌握由晶体振荡电路产生1Hz的标准脉冲信号使用方法。

(二)能力目标

培养学生动手能力,可手动校准。只要将开关置于校准位置,即可对分别对分、时进行手动脉冲输入校准或连续脉冲校准调整。

四、项目教学过程

(一)第一阶段:确定项目

确定项目的课题是该专业课程的关键知识模块,并且能体现课程的重、难点。以完成该课程的教学任务及学生掌握一定的技能为目标。

1.主项目:数字电子钟逻辑电路

2.子项目:(1)1Hz标准脉冲电路;(2)(单次脉冲,连续脉冲电路;(3)秒、分、时计数电路;(4)译码、显示电路;(5)整点报时电路

(二)第二阶段:项目的准备:

1.项目计划准备:教师要公布教学计划及项目任务,并负责辅导学生解惑答疑,有需要时要对任务进行示范;学生要根据自身特点选择项目任务。2.实施计划准备:教师负责人员分配,分成小组,每组6-7人,确定一名组长,并负责一个子项目的任务;学生的任务成员分工、任务分解、查阅资料、搜集数据、研究学习方法。3.知识技能准备:教师要与学生一起学习数字电路结构框图设计方法,学生要积极配合。

(三)第三阶段:项目的实施

1.振荡电路的设计与制作;本振荡器由集成电路定时器555与RC组成的多谐振荡器,1MHz的振荡器经6次10分频得到1Hz的标准脉冲,供时钟计数器使用,学生自行完成电路连接,R为可调电阻,微调R1可以调出1MHz。

2.分频电路的设计与制作:先对时钟信号进行14级分级,选用CD4060,然后再进行二级分频,二级分频器可采用中规模集成电器74Ls390就得到1Hz的时钟信号。有学生完成电路的连接。

参考文献:

[1] 陈华.项目教学法探讨.武汉冶金管理干部学院学报[J].第18卷第2期.2008,6

[2] 齐会娟.基于项目的学习在高职计算机类专业课中的应用研究[J],中国优秀硕士学位论文全文数据库,2011(10)

[3] 宋铁花.杜翠花.农科大学生英语学习状况的分析[J].山西农业大学学报[J].2000(3)

[4] 邓瑞卿.项目教学法在中等职业学校土壤肥料学教学中的实践与研究[J].2009年度专业硕士学位论文。

作者简介:

李文超( 1981- ) , 女, 河北邯郸人,河北职业技术学院讲师。

上一篇:实验课程设计论文范文 下一篇:电子工艺论文范文