个人能力描述范文

时间:2023-12-03 18:03:10

个人能力描述

个人能力描述篇1

很多人热衷“仅止于描述事物”的同时,在其言论中有意无意地注入一些主观情绪是根本就无法避免的结果,例如罗贯中在《三国演义》中描述张角起义的情形是“贼势浩大”这一点,就已经属于站到统治阶级的立场上所做出的结论,这种判断,虽然基于描述,但却总无法避免作者本人的主观因素。

描述,在小说创作中得以被广泛的应用,因为小说创作是最需要去描述一些事物的。

不过我们也都知道,当一位小说作者力图去描述一些事物时,作者本人所抱的主观判断情绪,以及局限于作者身上的阶层烙印,终会让他的“描述”流于个人之见,而不能在事实上真正代表了人类的泛化审美观。

多少力图通过“仅止于描述”的中立立场,来希图揭示一些“客观本质”之希望的努力,在我看来却是一桩又一桩毫无胜算的买卖。

因为我们都知道语言的惰性和文字的贫乏性,而“描述”行为,在动用动词和形容词时,毫无疑问都会涉及到“修饰”问题,也正是这些“修饰”问题,在事实上让“一千个读者变成了一千个哈姆雷特”。

我举个浅显的例子来说明这个问题。

比如,桌子上有一杯水,这是一个客观的物理存在。那么当我们力图去描述这杯水时,我们该如何定位针对这杯水的描述基点呢?

我相信,没有人会说这不是一杯水,假如在这些哈姆雷特中间没有双目失明的瞎子的话,那么我相信所有的“哈姆雷特们”,也许都会认同一个最基本而正确的客观描述:桌子上有一杯水。

如果所有的“哈姆雷特们”都喜欢这么冷静客观地去描述客观事物,那么这世上也就不存在“一千个哈姆雷特”之说法了。因为这种描述,是最干瘪的也是最尊重事实的。然而问题却总会出现,而出现的原因,则在于根本就没有多少人真正喜欢用这种干瘪而毫无色彩倾向的词句去描述客观事物。

人们的争论,往往起源于扩展性描述,而扩展性描述,则毫无疑问会因了众“哈姆雷特们”的个人主观,而强行给客观事物添加上很多动词或者形容词作修饰的结果,于是事物的原本面貌,也就会因为描述之多样发展的可能性而不可避免地出现“众说纷纭”的局面。

描述,有两种,一种是基于“产品使用说明书”模式的描述,而另一种,则是属于“商品广告”类的描述方式。

对前一种,绝大多数人在欣赏或者创作文学作品时,压根就对此不怎么感兴趣,一般而言,几乎所有的文人,都喜欢使用后一种描述方式,也就是“商品广告”类的描述方式。

问题就出在这第二种描述方式上!

因为第二种描述方法,虽然也是基于“仅止于描述”的动机,但在事实上,却已经演化成了扩展性的“心像”描述,换句话说,已经走上了唯心主义的描述模式。

我们还用“桌子上有一杯水”这个浅显的例子来解析一下。

“桌子上有一杯水”,属于“产品使用说明书”模式的描述,而众“哈姆雷特们”,当然也不可能满意这种干瘪的描述结果,因为他们还要搞清楚这是怎样的一杯水,于是事态就真的开始走向了复杂化。

首先,一位距离桌子最近,还貌似学者的“哈姆雷特”先生,会先站出来对大家说:“桌子上有一杯红色的矿泉水”,结果其他的“哈姆雷特们”开始不乐意了。

于是另一位“哈姆雷特”马上跟着站起来并表示质疑:“先生,您说这是一杯红色的矿泉水,可我却感觉这是一杯橘红色的白开水。”

这两位“哈姆雷特”的说法,无疑都套进了修饰词,而又由于他们的站位点不同,故而开始反复去强调己见的正确性,于是众“哈姆雷特们”也就开始了参与众说纷纭的争论过程。

因为修饰词,原本就属于“心像”范畴,而非属于客观的“物像”描述,所以,当针对同一件客观事物进行描述时,修饰性的“心像”言论,也就特别容易控制“哈姆雷特们”的思维,并进而导致他们开始反复引经据典地去和其他的哈姆雷特们进行争论。

我们知道,“桌子上有一杯水”的前提是必须有光源,否则,在一个漆黑的屋子里,谁还能看得见桌子上的水呢?那么,我们也都应该知道美术方面的几个基本常识:在有光源的环境下,物体本身的固有色,会随着反射光的强弱以及物体本身的形体而产生浓度及彩度等方面的变化[视觉效应],从而在观者眼里就产生了各种各样的视觉偏差,有些部分显得暗淡,而有些部分则显得很明亮。还有因为观者们的站位角度以及距离等不同的原因,所以很多人在同时观看“桌子上的一杯水”时,也就特别容易产生“一千个哈姆雷特”之结果了。

还有观者的视力问题,色彩感觉的强弱问题,以及个子的高矮问题等等,都会让众“哈姆雷特们”在观看同一杯水时,因为站位角度不同,而产生各种各样的视觉偏差。

描述性言论,如果针对同一杯水进行扩展性描述的话,那么,修饰词的使用是不可避免的结果。而修饰词的来源,也正因为站位点不同所导致的:由于站位点不同,而导致众哈姆雷特们在使用修饰词方面会出现很多分歧,比如红色,橘红色,桔色,桔黄色,冷红色,暖橘红,暖桔黄,红中透紫的橘红色等等不一而足的修饰语会层出不穷,并且个个都喜欢强调他们自己所使用的修饰词最为正确。至于到底是白开水还是矿泉水,也就只能去质问供水的厂家了,假如厂家也能实话实说那就更好。

导致“一千个读者就是一千个哈姆雷特”的最主要因素,正在于人们已经习以为常地惯用了修饰词,也就是说,早就习以为常地用“扩展性描述”去指代“描述本体”。

这是惯于“仅止于描述”的描述者们之最习惯于去有意无意忽略的问题,也是“描述”像“海纳百川”之论调的最大悖论之源。

扩展性描述,毫无疑问是带着很强的主观性的,当然同时也附带着很强的“个人之见”。

假如把“描述”这种创作手法,仅仅归位到原本的基点描述位置上,那么世上,也就无法出现那么多的“哈姆雷特”了,然而我却知道这是一种不可能奢望的妄想:因为人类的智商决定了人们从来都不喜欢停止于“产品说明书”模式的描述阶段,而恰恰喜欢“更进一步”地去“形容”客观事物。

“进一步形容”的****,导致描述性本体开始转化并变质为“扩展性描述”,而绝大多数人们,在“进一步形容”的过程中,恰恰忽略和忘记了扩展性描述本身,原本就不属于一种客观的描述方式,而恰恰是一种绝对的唯心主义描述模式的事实。于是“唯心”的描述,也就在事实上搞混了事物的本来面目,并把绝大多数人的视觉和思维给诱拐到了一个搞不好就变成了颠倒是非的立场上。

文艺创作,离不开扩展性的描述手法,否则,世间将再无“文艺”二字。

然而,扩展性的描述,在事实上却难能用来作为判断现实里的是非问题之尺度,因为这种手法属于纯文艺的特征,而不属于辨析现实社会里的是非之理性化的标准。

利用“描述”手段去混淆是非的做法,本质就是一桩很卑鄙很阴险的事情。其危险性在于:看起来好像描述者本人并没有对事件做出什么评论,但却在其描述的过程中,因为动用了很多有利于他个人之立场和观点的修饰词,而最终成功地引导读者和听众,在不知不觉中就钻入了被他早已设定好的语言圈套之中:比如谁想宣扬某某人很丑恶,其实大可不必对那个人的人格进行公开诋毁,而只要通过为那个即将倒霉的人杜撰一些任谁都无法验证真伪的生活溴事,或者罗列一些恶劣的生活癖好什么的,就足以引起公众的反感和厌恶了,至于在此基础之上,再随便在被攻击的人身上找点吹毛求疵的小茬子,并利用一些修饰词去夸大其劣的一面,那么这个即将倒霉的人,估计也就真的死定了。像这种常见的卑劣手法,也几乎就是历来的政治宣传之最惯用的手段,所谓“愚民而不动声色,杀人于无形”者是也!

又比如文艺界如果想推出一位作家,并想打造他的光辉形象的话,那么利用描述性手段,也很容易在公众中确立这个作家的“光辉形象”:只要把他如何如何“努力奋斗”的成长过程,利用夸大其词,或者涂脂抹粉的修饰言论,做到“掩盖其丑,只扬其辉”的程度也就可以了。这种“描述”的“成绩”是显而易见的:没有人再去质疑这位作家的作品本身,因为“既然这位作家的人品和境界都已经达到了如此高度,那么他的作品也就绝对假不了”的心理,几乎是人们一贯喜欢偏执的认同模式,于是人们也就开始响应名人效应,并开始盲目崇拜起被媒体炒红的所谓“作家”了。

扩展性描述,是一种可以肆意地去颠倒黑白并指鹿为马的描述模式,也是一种最具煽情气氛的描述模式。这种描述,往往并不是由描述者直接针对事件下结论,而往往是通过语言去误导读者下结论。

至于所下之结论的对错,当然也就和描述者无关。被误导了,只能归纳为读者的愚蠢,被利用了,也只能怪读者不长眼睛。

这是喜欢描述的人之高明之处,也是一种最卑劣的阴险手腕。

描述,如果不还原到基点描述的定位点上去,那么就会发展成扩展性描述,而扩展性描述,也只能停留于文艺创作中,却根本不能用来判断现实里的是非问题。现实里的是非问题,不能通过引经据典或者泛泛而谈的扩展性描述去做评论,因为脱离了还原事物本来面目的基础依据。

原则上来讲是这样的:描述里的修饰词用得越多,也就越接近文艺,同时也越远离客观事物的本质;反过来,修饰词用得越少,也就越脱离了文艺性,并在同时也越来越接近客观事物的本质。

纯文艺创作,自然需要使用无限扩展的“心像”描述手法,去解读并文艺化客观事物;时事评论,则必须要尽量地做到弱化描述的文艺性,也就是说必须要尽可能地去掉多余的修饰词,而本着具体问题具体分析的实事求是之原则去发表评论。

事实上,也唯有本着尊重“客观物像”的基点描述原则,才能做到尽可能地不去误导读者。

基点描述的基本原则就是:“桌子上有一杯水,是的,那只是一杯水,并在桌子上”。

个人能力描述篇2

1.1设计背景

随着出租车行业的发展,对出租车计费器的要求也越来越高。二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI的过程。同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件LSI电路,而ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。目前,业界大量可编程逻辑器件(PLD),尤其是现场可编程逻辑器件(FPLD)被大量地应用在ASIC的制作当中。在可编程集成电路的开发过程中,以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果的电子设计自动化(EDA)技术主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计

理想的可编程逻辑开发系统能符合大量的设计要求:它能够支持不同结构的器件,在多种平台运行,提供易于使用的界面,并且有广泛的特征。此外,一个设计系统应该能给设计师提供充分自由的设计输入方法和设计工具选择。Altered公司开发的MAX+PLUSⅡ开发系统能充分满足可编程逻辑设计所有要求。

MAX+PLUSⅡ设计环境所提供的灵活性和高效性是无可比拟的。其丰富的图形界面,辅之以完整的、可及时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用MAX+PLUSⅡ软件。

编程器是一种专门用于对可编程器(如EPROM,EEPROM,GAL,CPLD,PAL等)进行编程的专业设备

PLD器件的逻辑功能描述一般分为原理图描述和硬件描述语言描述,原理图描述是一种直观简便的方法,它可以将现有的小规模集成电路实现的功能直接用PLD器件来实现,而不必去将现有的电路用语言来描述,但电路图描述方法无法做到简练;硬件描述语言描述是可编程器件设计的另一种描述方法,语言描述可能精确和简练地表示电路的逻辑功能,现在PLD的设计过程中广泛使用。常用的硬件描述语言有ABEL,VHDL语言等,其中ABEL是一种简单的硬件描述语言,其支持布尔方程、真值表、状态机等逻辑描述,适用于计数器、译码器、运算电路、比较器等逻辑功能的描述;VHDL语言是一种行为描述语言,其编程结构类似于计算机中的C语言,在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。

VHDL就是超高速集成电路硬件描述语言。覆盖面广,描述能力强,是一个多层次的硬件描述语言。在VHDL语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。具有良好的可读性,即容易被计算机接受,也容易被读者理解。使用期长,不会因工艺变化而使描述过时。因为VHDL的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL为设计的分解和设计的再利用提供了有力的支持。

1.2EDA发展概况

电子设计技术的核心就是EDA技术,EDA是指以计算机为工作台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。

中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平还很有限,需迎头赶上。

可编程逻辑器件自70年代以来,经历了PAL、GALGPLD、FPGA几个发展阶段,其中CPLD/FPGA高密度可编程逻辑器件,目前集成度已高达200万门/片,它将各模块ASC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转换掩模ASIC实现,因此开发风险也大为降低。

硬件描述语言(HDL)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个32位的加法器,利用图形输入软件需要输人500至1000个门,而利用VHDL语言只需要书写一行“A=B+C”即可。而且VHDL语言可读性强,易于修改和发现错误。早期的硬件描述语言,如ABEL、HDL、AHDL,由不同的EDA厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,1985年美国国防部正式推出了高速集成电路硬件描述语言VHDL,1987年IEEE采纳VHDL为硬件描述语言标准(IEEESTD-1076)。

VHDL是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由下向上的电路设计过程都可以用VHDL来完成。VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。VHDL可以用简洁明确的代码描述来进行复杂控制逻辑设计,灵活且方便,而且也便于设计结果的交流、保存和重用。(3)VHDL的设计不依赖于特定的器件,方便了工艺的转换。(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。传统的硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流图,对系统的功能进行细化,合理地划分功能模块,并画出系统的功能框图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样的原理图的阅读和修改也给设计者带来诸多的不便。为了提高开发的效率,增加已有开发成果的可继承性以及缩短开发周期,各ASIC研制和生产厂家相继开发了具有自己特色的电路硬件描述语言(HardwareDescriptionLanguage,简称HDL)。但这些硬件描述语言差异很大,各自只能在自己的特定设计环境中使用,这给设计者之间的相互交流带来了极大的困难。因此,开发一种强大的、标准化的硬件描述语言作为可相互交流的设计环境已势在必行。于是,美国于1981年提出了一种新的、标准化的HDL,称之为VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage,简称VHDL。这是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。设计者可以利用这种语言来描述自己的设计思想,然后利用电子设计自动化工具进行仿真,再自动综合到门电路,最后用PLD实现其功能。

覆盖面广,描述能力强,是一个多层次的硬件描述语言。在VHDL语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。

具有良好的可读性,即容易被计算机接受,也容易被读者理解。

使用期长,不会因工艺变化而使描述过时。因为VHDL的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。

支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL为设计的分解和设计的再利用提供了有力的支持。

当电路系统采用VHDL语言设计其硬件时,与传统的电路设计方法相比较,具有如下的特点:

即从系统总体要求出发,自上而下地逐步将设计的内容细化,最后完成系统硬件的整体设计。在设计的过程中,对系统自上而下分成三个层次进行设计:

第一层次是行为描述。所谓行为描述,实质上就是对整个系统的数学模型的描述。一般来说,对系统进行行为描述的目的是试图在系统设计的初始阶段,通过对系统行为描述的仿真来发现设计中存在的问题。在行为描述阶段,并不真正考虑其实际的操作和算法用何种方法来实现,而是考虑系统的结构及其工作的过程是否能到达系统设计的要求。

第二层次是RTL方式描述。这一层次称为寄存器传输描述(又称数据流描述)。如前所述,用行为方式描述的系统结构的程序,其抽象程度高,是很难直接映射到具体逻辑元件结构的。要想得到硬件的具体实现,必须将行为方式描述的VHDL语言程序改写为RTL方式描述的VHDL语言程序。也就是说,系统采用RTL方式描述,才能导出系统的逻辑表达式,才能进行逻辑综合。

第三层次是逻辑综合。即利用逻辑综合工具,将RTL方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表)。此时,如果需要,可将逻辑综合的结果以逻辑原理图的方式输出。此后可对综合的结果在门电路级上进行仿真,并检查其时序关系。

应用逻辑综合工具产生的门网络表,将其转换成PLD的编程码,即可利用PLD实现硬件电路的设计。

由自上而下的设计过程可知,从总体行为设计开始到最终的逻辑综合,每一步都要进行仿真检查,这样有利于尽早发现设计中存在的问题,从而可以大大缩短系统的设计周期。

系统可大量采用PLD芯片。

由于目前众多制造PLD芯片的厂家,其工具软件均支持VHDL语言的编程。所以利用VHDL语言设计数字系统时,可以根据硬件电路的设计需要,自行利用PLD设计自用的ASIC芯片,而无须受通用元器件的限制。

EDA系统框架结构EDA系统框架结构(FRAMEWORK)是一套配置和使用EDA软件包的规范。目前主要的EDA系统都建立了框架结构,如CADENCE公司的DesignFramework,Mentor公司的FalconFramework,而且这些框架结构都遵守国际CFI组织制定的统一技术标准。框架结构能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间以及整个产品开发过程中的信息传输与共享,是并行工程和自顶向下设计施的实现基础。

EDA技术的每一次进步,都引起了设计层次上的一次飞跃,从设计层次上分,70年代为物理级设计(CAD),80年代为电路级设计(CAE),90年代进入到系统级设计(EDA)。物理级设计主要指IC版图设计,一般由半导体厂家完成,对电子工程师没有太大的意义,因此本文重点介绍电路级设计和系统级设计。

仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行PCB后分析,其中包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并可将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真。后仿真主要是检验PCB板在实际工作环境中的可行性。

由此可见,电路级的EDA技术使电子工程师在实际的电子系统产生前,就可以全面地了解系统的功能特性和物理特性,从而将开发风险消灭在设计阶段,缩短了开发时间,降低了开发成本。

系统级设计进人90年代以来,电子信息类产品的开发明显呈现两个特点:一是产品复杂程度提高;二是产品上市时限紧迫。然而,电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计忙人、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的,显然这种设计方法不能适应新的形势,一种高层次的电子设计方法,也即系统级设计方法,应运而生。

个人能力描述篇3

问的人太多了,我感觉到了深刻的疲惫。

这次就把大家老是说的一个东西来出来讲吧:店铺没流量怎么办!!

很多人店铺没流量了,就不知道怎么办了,也不知道推广,甚至有钱怎么花都不知道。。真是感觉悲凄啊。(花不了联系我来免费帮你花)

那到底店铺没流量,怎么办?

流量不是你说一开店就会有的,搞的好像一开店就有流量,就能哗啦啦的赚钱。

做流量:第一步丶请先做好描述。描述就是做好转化率。有转化率后,你再谈流量,转化率低于行业以下的请面壁思过吧。就不要再来问我没流量怎么推广,推荐个平台给我推广之类的。

这次重点说转化率:

决定转化率因素:1丶描述2丶评价评分3丶价格 4丶售后保障5丶客服能力

这里重点说描述!!描述要怎么做?

做描述前,请先明确自己是产品决定运营,还是运营决定产品。运营决定产品就不说了,一般都是产品决定运营的居多。

做描述第一步:风格审视,了解自己产品到底是什么风格!食品(绿色,美味,特产等)女装(日韩,欧美,时尚,高贵,气质,可爱,淑女等)男装(健美,帅气,屌丝,胖瘦坨坨等)化妆品(品牌,功效,少女,包装,等等)数码类(价格,质量,视频,售后等等等) 风格审视完,就要给自己定位好。然后开始做全店专修风格。一旦定位好,全店就都往这个风格去做了。这样子的风格化店铺吸引力很大的,给人感觉很有特色很专一,是提升转化率非常好的一步骤。

描述第二步:买家需求。来店铺看产品的客户大概2种:(1)硬性需求产品。即是今天有这个需求了要买产品了。这种客户怎么办?直接捉它们痛点。怎么捉?假如你要买假发,买假发是为了干嘛?美丽?时尚?遮丑?一旦你店铺定位好后,你的买家进店后,基本能判断是为了什么进店,假如买家是为了美丽漂亮,那就是:虚荣心与美这是他们的需求。好了接下去就是开始做需求点开发了。怎么开发呢?细分出来:买假发为了美丽,第一,款式好看,拍照就要多角度拍,侧面反面,正面上下面。其次就是效果描述:戴上假发,以假乱真,戴上假发身边的好朋友以及好多高富帅都说漂亮,。戴上假发,可以出席某些晚会,回头率很高等等的场景描写。最后,硬性描述:质量,售后保障等,最后再加附加东西:假发送发套,多少天无理由退货等等。你想当你的买家是爱美的需求到你店铺买产品,你满足了它的爱美之心,且同时买的产品质量又好,还有赠品,还有无忧售后,成交概率就非常高了。 描述其实不需要多,捉住内心主要需求即可,但是竞争同时也激烈,你懂得这么做,其他人也懂,所以捉痛点需求的时候就要更加细分出消费者的内心。。但是第一步请先做好定位。定位决定需求。 (2)闲逛消费群体。对于这种客户他们逛的是淘宝,根本没有目标的逛,或者需求很小,但是假如这种客户到店铺后,怎么办?假如遇到这种消费者,我们要做的就是创造需求。怎么创造需求呢?也细分出来:这种客户可能一开始根本没买的意识,如果能让它成交的情况,要么价格很给力,要么这产品描述确实非常吸引,要么一些文案内容刚好刺痛了它的内心。所以这种客户成交概率就比较低。对于这种客户,只能在价格上面,文案场景做描述,以及一些品质方面做描述。好吧,最后总结下吧:

0:先来一段深入心扉的文案吧

1;需求点描述:(内心需求)(风格定位)需要花最多功夫时间去做

2:硬性需求(质量)

3:附属配套(赠品,优惠券)

4:售后保障(解决后顾之忧)

描述就这样子大概告一段落了,大家切记几点:做描述时候,图片别做的很大,打开速度很慢。二丶图片一定要争取高清美观。三丶差不多的图片就尽量少放,别画蛇添足了。四,图片下拉长度,别太长,该描述的描述完即可。

最后关于转换的另外几点:

1丶价格 价格是很重要的一点,但是跟利润挂钩,做好价格就基本不要动了

2丶评价评分:评价刚开始做销量的时候,你可以刷一笔,或者半送半卖的给老顾客。这样子就能获得相对不错的评价。当然这些只能是刚开始做的。最终评价这个要最好售后。

3丶售后保障:小卖家订单少售后这块做起来比较简单,可以给客户一个个看到快收货了,打个电话。或者寄出产品时写个手写纸条表示感谢支持。对于大卖家最好赠品,售后体验。

4丶客服能力。纯属个人谈判技巧,以及是否会懂的捉取客户内心需求。

综合以上几点:描述转换即可做的相对较好了。

最后,说一点:淘宝是大家的淘宝,竞争对手很多。不仅仅是自己做好了就可以了。买家都会去比较。所以做描述的时候,先关注下排名前30名店铺的描述。做到,好的地方它有我也有,他们无我还有。转换率是一个漫长的过程,大家一边摸索一边优化吧!

个人能力描述篇4

关键词 软件智能部署;应用;描述语言

中图分类号:TP311 文献标识码:A 文章编号:1671—7597(2013)042-047-02

软件部署是对软件进行安装和配置,使软件正常工作的过程。现有的软件部署方法包括 :手工安装、无人值守(RemoteInstallService/Unattended)的安装、基于镜像的安装(Ghost,ImageX,PowerQuest)、软件部署工具。以下是对现有的几种软件部署方法的优缺点进行比较,如表1所示。本文基于这一背景,分析了软件智能部署与应用方法,这一研究对于软件部署的改进具有一定的意义。

1 软件智能部署

1.1 软件智能部署概述

与过去你年的软件部署相比,目前的软件部署发展的较快,有了很大的进步,但是在进步的过程中存在的问题却不能让人忽视。

1)很多的软件部署较为片面,只能对一个进行部署,而不是多个。2)软件部署在部署的时候,方法不对,首先应该清楚,软件部署注重的是过程,而不是其目的。在此解释一下两者的区别,首先是针对过程的部署,即根据客户端的要求,把他们所需要的进行详细的部署,这部署的过程中,并不是自动完成的,他需要人工的参与。而在针对目的进行部署时,并不需要人的参与,只需机器进行自动的完成。3)如见部署分机械部署与智能部署,而目前大多采用的是机械的部署,对服务器所发送的需求进行机械的软件部署,并不对此进行深入的判断是否其需要,而智能部署则是根部客户端的需求,看其是否匹配等条件下完成的。

对于目前软件部署存在的诸多问题中,相关学者特提出了软件智能部署的理论,而所谓的软件智能部署,便是清楚地解释出软件部署约束和组件之间的依赖关系,当然用于解释的是制作出的规范化的软件,并在此的基础上,合理快速的对所需软硬件的环境进行勘察,在最少的人工干预下,自动的对其进行匹配,智能软件部署是一种针对目标话的智能部署,并且所针对的环境较为复杂。

1.2 软件智能部署工作流程

根据上述的软件智能部署,对其工作流程进行了总结,主要份为两大部分,一是对客户端信息的收集,二是把软件进行部署,即为图1的工作首先收集客户断的信息,然后在进行需求的发送,再接受客户端的信息要求,最后管理员接受申请,再向DSM发送相关请求。

管理员在根据其要求,然后在查询在Compo-nentRepository中元件的具体情况和客户中客户端的基本信息,再根据所获得信息进行详细的分析,配制出合适的软件,并且毫无冲突的安装程序。用DSM进行查询,另外把之称的软件序列进行发送到CM。把以机构接受到地程序进行安装。并且在安装过程中要进行全程的观测,一旦发现问题进行及时的反馈。

2 软件描述语言

规范化的描述语言,就是指在进行软件部署之前,必须了解部署的对象,信息等情况。所以就需要对所要部署的软件进行规范化清楚的描述,软件部署目标的描述是需要客户端的节点和软件信息来进行描述实现的;所以,总的来说,软件描述语言是对部署软件进行规范化的描述。

人类可采用软件部署的语言来进行描述,并且能够最大限度的来支持软件部署,但是DSD即部署软件描述使用了(Family)来对软件序列进行描述,则此描述的格式有很多的组成部分,有系统标识,而其中有外部属性集合,它是指在与软件描述中有很多不能解释的即没有具体定义的属性,而这些通常是指部署的目标等。当然也属性集合中也有内部的属性,软件系统内部也有很多的约束,而断言集合与以来集合则对此进行了规范化的描述,断言集合则表示的是那些必须解开的约束,依赖集合的寓意则与断言集合是相反的,是要满足其约束的要求。软件描述(DSD)是对整个软件部署任务进行的描述,特别强调的是DSD并不是对软件系统进行静态的描述,而是动态的描述,通过其操作模式,DSD的描述举手高度的灵活性,在不同的情况下进行不同的描述,在一个软件系统的简单情况下,它也只对其进行单一的变量与版本进行描述,在一系列的系统中,它描述的对象也进行了改变,变为整个的版本。DSD是一个很好的描述工具,另外在对客户端进信息行分析时,需要对一些细节的东西也要进行描述,例如硬件软件的信息,所以要注意不单单是对要不熟的软件进行描述。同时也要注意部署的目标规范化描述与软件的描述是不一样的,部署软件已经部署之后,便不会再进行改变,正好与部署目标的软件相反。因此要对部署目标进行分类汇总。

参考文献

[1]李冰鹏,孙昌奇,兰洋.一种软件智能部署方法的研究[J]. 计算机应用,2009,01:248-252.

[2]李冰鹏,娄国哲.一种软件部署冲突检测及其自动调整算法[J].计算机应用与软件,2011(04):63-66,73.

[3]管惠维,孙永强.智能计算机的研究现状[J].自然杂志,1992(02):104-108.

[4]杨庆柏,韩希昌,金丰.INFI-90系统CAD软件的应用方法[J].热力发电,1997(06):52-55.

个人能力描述篇5

关键词:现代企业;人力资源;系统改革

中图分类号:F272.92 文献标识码:A 文章编号:1001-828X(2013)09-0-01

一、现代企业人力资源职能管理存在的问题分析

1.人力资源系统复杂多余

实践表明,国内多数企业员工一直认为企业当前人力资源系统复杂多余,大大削弱了企业员工的满意度,不利于充分调动员工工作主观能动性。总结而言,企业人力资源系统复杂多余主要体现在:(1)企业人力资源电子系统存在多个操作界面,如考勤系统由供应商提供、主数据系统由企业自主开发等,这样以来需要企业员工置于多个操作界面之中,极易发生操作失误事件,从而难以保证相关数据信息的完整性、真实性、决策有用性;(2)以考勤业务活动为例,截止当前国内部分企业未针对于考勤业务活动制定健全的规章机制或有效的规则机制落实不到位,以致考勤业务活动无章可循、无据可依,进而感觉人力资源系统复杂多余。调查研究报告显示,我国企业内部近一半以上的员工认为人力资源系统设置不合理。

2.人力资源部各职能职位描述的缺失

一直以来,企业内相当一部分员工开展业务活动时呈现“重效率、轻价值”的状态。与此同时企业没有对人力资源部各职能职位做出全面准确描述,以致企业员工大都凭经验实施业务活动,如此以来极易引发一系列风险事件,不利于企业持续稳定发展。

3.标准化流程的缺失

近年来,受多方面原因的影响,以致企业责任推诿现象日益突出,究其原因在于企业未能够明确各级职工的职责权限,或企业未落实好对各级员工的监管职责。为解决这一问题,部分积极推行标准化操作流程,即SOP,实质上是以统一的格式对各项业务活动要求、操作步骤予以详细描述,并依据描述结果规范员工工作行为,从而达到明确界定各级员工职责的目的。特别注意的是在描述各项业务活动要求、操作时尽可能做到细化、优化。

企业不应依赖于员工个人而应依靠制度完成各项管理工作,只有这样才能够保证员工调离时不会影响到企业各项业务活动正常运行。当企业形成标准化流程后,便能够从根本上规避责任推诿现象,防范各项风险事件的发生。

二、现代企业人力资源系统职能管理存在问题的相关策略

1.依据员工的不同职能进行绩效评估

笔者认为,对人力资源部各职能职位进行全面准确描述至关重要,具体体现在:(1)职位描述作为企业录入员工的重要依据。招聘是企业选拔人才的有效途径,该环节有助于壮大企业人力资源队伍。而职位描述是企业保证招聘合理性、高效性,完成员工录入的重要保证。实际上,通过职位描述为应聘者提出了多点要求,如要求应聘者熟练掌握哪方面知识技能、要求应聘者熟知哪方面业务活动等。若企业招聘时危机未进行人力资源部各职能职位描述或职位描述不全面、不清晰将直接影响到招聘工作的高效率性、高质量性;(2)职位描述可作为目标管理的重要依据。员工目标管理是现代企业管理的核心内容,其中企业常依据员工承担哪些职责、完成这些职责需依赖于那些技能等指标开展员工目标管理活动,而上述指标即是职位描述的重要内容,所以要求将职位描述确认为目标管理的重要依据;(3)职位描述可作为薪资政策制定的重要依据。薪资政策是企业开展人力资源管理,调动员工工作积极性,增强员工工作责任感的保障。一般而言,职级与薪资范围准确映射出职位职能范围及技能水平状况,而这一过程的完成必须借助职位描述予以实现,可见职位描述为制定薪资政策提供了重要依据;(4)职位描述可作为绩效考核的重要依据。通过职位描述能够明确各职位的职责权限,如此企业便可依据各职位的职责权限准确判断企业员工是否尽职尽责完成各项业务活动。因此依据职位描述对员工进行绩效考核,之后结合绩效考核结果对各员工或奖励或惩处,以激发员工主观能动性。

2.在绩效管理的实施中,全注重打造绩效管理的生态链

绩效管理是一个封闭的系统,因此可以将绩效管理作为一个项目来看待,采取项目管理的方式来推动它的实施。各部门负责人是绩效管理实施的主体和中坚力量,上对单位的绩效管理体系负责,下对自己所主管部门员工的绩效负责,各部门负责人确立了绩效管理的目标任务就可以开发员工潜能,提高员工的工作能力,提升企业绩效。

管理者要承担起在绩效管理中的责任,应该承担起对员工做出客观公正的、定性与定量相结合的评价;绩效管理重在管理者和员工的积极参与,要使员工清楚地认识到,工作就是要按标准执行,要把任务具体化,并在工作中做好记录,做到科学规范,有据可查,要使管理者充分地认识到,对员工的期望要求不能只停留在脑海中,要经常不断地与员工沟通,使得员工之间相互支持,相互鼓励,达到通过共同的参与和承诺的方式,实现企业战略目标。

参考文献:

[1]姜萍,焦方义.人力资源管理与企业绩效关系研究[J].黑龙江教育学院学报.,2009(09).

[2]赵曙明,高素英,耿春杰.战略国际人力资源管理与企业绩效关系研究——基于在华跨国企业的经验证据[J].南开管理评论,2011(01).

[3]刘善仕,刘辉健.投资型人力资源管理系统与企业绩效的关系研究[J].管理工程学报,2008(04).

个人能力描述篇6

关键词:硬件描述语言,VerilogHDL,ITL,Tempura

 

1、引言

几十年前,人们所做的复杂数字逻辑电路及系统的设计规模比较小也比较简单,其中所用到的FPGA或ASIC设计工作往往只能采用厂家提供的专用电路图输入工具来进行。为了满足设计性能指标,工程师往往需要花好几天或更长的时间进行艰苦的手工布线。硕士论文,ITL。工程师还得非常熟悉所选器件的内部结构和外部引线特点,才能达到设计要求。这种低水平的设计方法大大延长了设计周期。

近年来,FPGA 和ASIC 的设计在规模和复杂度方面不断取得进展,而对逻辑电路及系统的设计的时间要求却越来越短。硕士论文,ITL。这些因素促使设计人员采用高水准的设计工具,如:硬件描述语言(Verilog HDL 或VHDL)来进行设计。

然而,Verilog HDL 硬件描述语言缺乏对于电路逻辑关系描述和分析的形式化方法,尤其是缺乏基于时序的逻辑描述。这对于化简和检验正确性都带来了麻烦。而ITL语言描述则提供了另一套基于时序的形式化解决方法,对Verilog HDL 硬件描述语言起到了很好的补充作用。

2、ITL简介

区间时态逻辑(interval Temporal logic,ITL)是一种用于描述离散区间或时段的逻辑系统,它是时态逻辑的一个分支。我们可以把一个区间(interval)看作是一个有限的状态序列;这里的状态就是从所有变量到其值的映射。区间的长度定义为该区间内状态数减 1。因此,只含有一个状态的区间的长度为0。一个区间s0… sn 的长度是n。一个只有单个状态的区间的长度是0。

ITL 的基本表达式和公式的语法如下所示

表达式:

公式:

其中,μ为一个整数值;a 为静态变量(在区间内不改变);A 为状态变量(在区间内

值可变);g 是函数符号;p 为谓词。硕士论文,ITL。下面我们以RS 触发器为例来说明ITL的使用:

一个RS 触发器是一个简单的储存和保持一位数据的记忆单元。两个输入决定了互补的输出和。S(Set)为置一,R(Reset)为置零。

图1 RS 触发器结构图图2 RS 触发器的真值表

按照传统的方法,根据真值表列出输入输出变量的逻辑方程,得到:

Qn+1=S+¬R*Qn

S*R=0

而用 ITL描述可以直接把逻辑关系(动作、谓词)写出来,再化简:

把时间等参数变量考虑进去,我们就可以得到RS触发器的结构方程:

3、Tempura

用ITL 能够方便准确地描述基于时序的数字电路,然而缺乏可执行能力,运算公式不能直接进行计算机仿真和验证。Tempura 则是ITL 强有力的可编程可执行的工具集,大大增强了ITL 的实用性。Tempura 是一种可直接执行的数字电路时序逻辑设计方式,是 ITL 的一个可执行子集。发展到今天,Tempura 已经能够直接在Windows 环境下运行。硕士论文,ITL。只要熟悉ITL 的语句,对照着Tempura 自带的指导工具,使语法公式一一对应就可以进行编程和仿真,十分方便。硕士论文,ITL。

下面我们还是以RS 触发器为例来说明

用VerilogHDL采用门级描述为:

moduleRS_FF(R,S,Q,QB);

input R,S;

output Q,QB;

nor (Q,R,QB);

nor (QB,S,Q);

endmodule

用VerilogHDL采用行为描述为:

moduleRS_FF(R,S,Q,QB);

input R,S;

output Q,QB;

reg Q;

assign QB=~Q;

always@(R or S)

case({R,S})

2'b01:Q<=1;

2'b10:Q<=0;

2'b11:Q<=1'bx;

endcase

endmodule

而根据前文所述的用 ITL描述的RS触发器改写成Tempura 语言,代码如下:

为了检验设计结果,需要输入仿真参量,代码如下:

(S=0) and (R=0)and (Q=0) and (Qbar=0) and

for lis<<1,0>,<0,0>,<0,1>,<1,0>,<0,0>>

do (len(5)and (Sgets l0) and (R gets l1)

)

and

(S,R)latch(Q,Qbar)

仿真结果如下,和真值表一样。

图3 仿真结果

传统的数字电路设计方法繁琐且不严谨,而且往往缺乏时序逻辑的描述能力。针对这个问题,HDL的使用为硬件设计师提供了一个非常好的分析和设计数字硬件的工具,也为沟通软件和硬件提供了一种方法。然而,这些 HDL 一般是为模拟数字硬件的功能而设计,往往比较适用于较低层级的设计。同时传统的HDL 设计方法缺乏对数字硬件推理和证明的机制;对行为描述的能力较弱,缺乏形式设计或验证的支持工具。形式化的设计方法则提供另一种强有力的数字电路描述。在软件工程中,形式方法已经取得一些引人注目的成就。但是在硬件设计领域,形式方法的应用研究和成就仍然在起步阶段。在国内的面向市场的数字电路设计,情况更是这样,形式方法的使用很是有限。ITL 等形式方法(特别是配以成熟高效的可执行工具,如Tempura), 将有效提高我们描述和设计数字电路。硕士论文,ITL。正如本文开头所说,在硬件设计速度赶不上软件速度的今天,形式方法将给我们带来一种新的突破思路,这在未来的电路设计领域将有广阔的应用和发展空间。

参考文献

[1]Benjamin C. Mosszkowski. ITL HandbookDecember 6, 2007

[2]Antonio Cau. Interval Temporal Logic Anot so short introduction 2009

[3]舒风笛。《面向嵌入式实时软件的需求规约语言及检测方法》,武汉大学,2004

[4]夏宇闻。《Verilog 数字系统设计教程》,2008年,北京:北京航天航空大学出版社。

 

个人能力描述篇7

关键词:中等职业教育;师生关系;调查;情态分析

作者简介:朱孝平(1966-),男,浙江义乌人,浙江大学教育学院博士研究生,金华市教育局教研室副主任,浙江省特级教师。

基金项目:2010年浙江省教育科学规划课题“中职生专业课学习行为的研究”(编号:SC198),主持人:朱孝平。

中图分类号:G712 文献标识码:A 文章编号:1001-7518(2012)30-0028-04

师生关系在中职教育的情景中有着特别的意义,较之于其他类型的教育,例如普通高中教育,中职领域的师生关系更加复杂。师生关系可能给教师带来更大的心理压力,并在很大程度上影响教师专业发展与职业成就感的形成。

本文以中职骨干教师为研究对象,从“教师眼中的学生基础”、“教师眼中的师生关系”、“教师的课堂感受”、“教师对师生关系的期待”等四个方面分析、梳理了中职师生关系的现状以及这种关系背后蕴含的意义。

一、研究的方法

采用问卷与访谈相结合的方法获取研究资料,通过情态分析、关键词频度统计等方法获得相对量化的结果。

(一)研究的样本

中职一线的教师是研究师生关系的一个最好的样本群体。2012年暑期,受教育部委托,浙江师范大学承办了多个中职骨干教师部级培训班,这些教师来自于全国21个省、市、自治区,任教于多个不同的专业,工作年限从2年到30年不等。选取其中的3个教学班作为研究对象,这三个教学班共有学员126人。

(二)调查的方法

1.问卷调查。问卷共设计了四个开放性的问题,从不同的侧面了解中职师生关系的特征。

问题一:请用一段话描述你所教的学生的学习基础。

问题二:请用一段话描述你与学生之间的关系。

问题三:请用一段话描述你上课时的真实感受。

问题四:请用一段话描述你期待的师生关系。

共发放问卷117份,全部学员完成了四个问题的测试,收回问卷117份。

2.访谈。访谈是为了获得与研究问题相关的更加丰富的资料。与学员之间以问题研讨的形式进行访谈,进一步了解学员对相关问题的看法。在资料分析过程中,对于特别有意义的描述采用了跟踪访谈的方法,采用电话访谈或是E-mail交流获得案例性资料。

(三)资料分析的方法

情态分析的方法是当前国际上比较前沿的分析方法,在教师间对话的研究中获得了深入的应用[1]。情态有多种含义,本文所指的情态是指“情感、态度”,即根据被调查者描述中反映的“情感与态度”来分析相关的信息与意义。

另外,在资料类属分析的基础上,提取有意义的关键词进行频数统计,用于量化分析师生关系的关键属性。

二、结果与分析

通过问卷、访谈以及案例提取获得了丰富的书面资料,从“教师眼中的学生基础”、“教师眼中的师生关系”、“教师的课堂感受”以及“教师期待的师生关系”四个方面对资料进行分析。

(一)教师眼中的学生基础

教师用自己的语言描述了眼中的学生学习基础,对出现比较多的以及比较有意义的关键词作了频数统计,结果见图1。

从图1可以发现,教师的描述中出现最多的是“基础薄弱”以及“参差不齐”,这两个词集中反映了一线教师对学生知识基础的评定,这与我们通过调查得到的学生学业水平存在“低水平、高分化”的状况是一致的[2],不同的只是描述的语言。除此以外,出现频数比较高的还有“学习能力差”、“习惯不好”、“厌学”等,透过教师描述的话语,我们可以发现,一线教师对学生学习基础的理解存在三个层次,即知识层次的“基础薄弱,参差不齐”;学习能力与习惯层次的“能力差、习惯不好”以及兴趣与动机层次的“厌学”。对于学生的学习基础教师也有“动手能力强”、“头脑聪明”、“有创造力”等相对正面的评价,但是数量比较少。

为了进一步分析这些描述背后反映的师生关系,我们采用情态分析的方法,对每一位教师的描述作了情态划分,即根据教师描述语言中呈现的积极或消极的“情感与态度”划分为五个等级,具体见表1。

根据上述划分,对所有教师的整体描述情况进行了统计,结果见图2。

计算总得分为-144分,相对分为-144/117=-1.23分,我们将相对分划分为五个区间[-2,-1.2]、[-1.2,-0.4]、[-0.4,+0.4]、[+0.4,+1.2]、[+1.2,+2.0],以表明整体评价的积极程度,从结果上看教师对学生的学习基础评价整体负面,即教师对学生的学习基础高度不满意。这种负面评价,一方面反映了“真实”,即中职生的学习基础的确非常不如人意,要开展有效的教学困难很大,这样的负面评价对师生关系可能存在潜在的影响,教师对学生基础的“失望”会无形中引发各种负面的师生关系。另一方面,一线教师对学生学习基础的描述主要集中在文化知识方面,通过访谈得知,对学生评价比较正面的教师往往关注学生的其他特质,如动手能力强、对实践学习有兴趣等,这些教师的教学往往处于较好的状态,这也从另一个方面说明,要重塑职业学校的教学,首先要重塑一线教师的“学生观”,即我们怎么看待学生的学习基础。

(二)教师眼中的师生关系

采用上述的情态分析方法,对教师提供的有关师生关系的描述同样进行等级划分,见表2。

根据上述的划分,同样对各种情态及得分情况进行了统计,结果见图3。

总得分为+92分,相对分+92/117=+0.79分,属于偏正面。对于自己的师生关系状态,一线教师的描述中,正面与偏正面的评价明显多于偏负面与负面的评价,特别是完全负面的评价只有5人,这似乎与实际的师生关系状况不符。对于这一现象出现的原因我们通过访谈进行了更深入的了解,从受访教师提供的分析看,中职教师对师生关系特别关注,这与高职教师对师生关系的看法高度一致[3],教师描述的师生关系实际上是他们期待的师生关系在现实中的一种映射。另一方面,许多教师潜意识中可能把师生关系当做是评价自己工作能力的一项指标,因而无意识地从正面来描述自己与学生的关系。

(三)教师的课堂感受

教师的课堂感受很大程度上反映了课堂中潜在的师生关系。与前述两个问题相比,教师的课堂感受描述更加真实与丰富多彩。我们在分析教师的描述时,有一种深临其境的感觉,并深深地理解一线教师。教师的课堂感受情态划分如表3所示。

统计结果见图4。

总得分为-31分,相对分-31/117=-0.26分,属于中性评价。由于教师的课堂感受多种多样,在不同的班级,采用不同的方法甚至在不同的情景下感受都会不同,因此,大量出现的是中性的描述,教师采用的描述句型结构往往是“有时…有时”,“有些…有些”这样的描述正说明了这种感受的变动性。偏正面的评价往往采用“虽然…但是”,“以前…现在”这样的句式,“虽然…但是”这样的句式表明,教师认识到存在的问题,但是他会采用积极的办法去解决。“以前…现在”则往往表明改进后的结果。尤其值得注意的是,我们对有着积极、正面课堂感受的老师进行了个别的访谈,发现他们有一个共同的特征,他们都有丰富的企业实践经验,因为这些经验,他们得到学生的认同,师生关系处于比较和谐的状态,所以上课给了他们很好的感觉。这是一个值得更深入研究的现象。

(四)教师对师生关系的期待

除了极个别的教师以“只要学生把我当‘人’就可以了”这样较负面的语言进行描述外,从教师期待的师生关系描述中,我们几乎看不到负面的字眼,教师均从积极的态度出发,来描述他们希望建立的师生关系,尤其是希望学生怎么对待他。

我们抽取了一些有意义的关键词进行频数统计,结果见图5。

从图5可以看出,在教师的描述中,出现频数最高的是“尊重”这个词,在师生关系中,教师如此期待学生以尊重的态度来对待他,恰恰表明,现实的教育教学活动中,存在大量的学生不尊重教师的情况,表现在平时的各种人际冲突中,这样的冲突使教师觉得自己的工作没有价值与意义。

另一个出现频数很高的词是“朋友”,这表明教师希望师生之间是一种平等而轻松的关系,朋友一词往往与尊重一词同时出现在同一个老师的描述中,这说明在多数教师的心目中,他期待的师生关系是“亦师亦友”的关系,有老师对此作了解读,“把我当老师,尊重我;把我当朋友,真诚相待”,“亦师亦友”或是“良师益友”可能是中职教师对期待的师生关系的最基本与准确的概括。

从图4分析以及访谈,我们得知,一线教师对师生关系的期待存在“层级”性,第一个层级是希望学生“尊重、理解”老师,第二个层级是建立朋友关系,师生之间能真诚沟通、相互信任;第三个层级是得到学生的敬佩与感激。这样的层级性可能是教师专业发展的一种通道,也意味着,教师可以从师生关系改进中体验到职业成就感与价值感。

三、结论与建议

通过师生关系的情态化分析,我们得到以下的初步结果,并提出建议。

(一)一线教师对学生学习基础的评价是负面的,认为“基础薄弱,参差不齐”,这与中职生学业基础“低水平、高分化”客观事实相符,但是教师对学生基础的过于负面的评价会潜在地影响师生关系,应该引导教师适度离开“文化基础”的层面,认识学生的学习基础,重建学生观。

(二)教师对目前的师生关系描述是偏正面的,较之于普通教育,中职教师往往更加重视师生关系,并试图加以改善。另一方面,潜意识当中,教师可能把师生关系当作自己工作能力的一种表现,因此,会以相对积极的态度来描述自己的师生关系。对教师的这种积极态度应加以引导。

(三)教师的课堂感受是复杂多样的,这些感受很大程度上反映了课堂中潜在的师生关系。特别值得注意的是那些对课堂有着积极感受的教师,他们来自于哪里?他们是怎么做的?他们为什么会有稳定的课堂正面体验?这些教师应该成为职业教育深入研究的对象。

(四)教师对师生关系的期待具有共同的特征,即希望与学生之间是“亦师亦友”的关系,同时,教师对师生关系的期待还具有层级性,师生关系在不同层级之间的上升,很可能是教师的工作能力与素质产生了相应变化的结果,师生关系与教师专业成长之间的关系可以成为一个重要的研究话题。

参考文献:

[1]Karl. Kosko, Patricio Herbst. A deeper look at how teachers say what they say: A quantitative modality analysis of teacher-to-teacher talk [J]. Teaching and Teacher Education . 2012, (28):589-598.

[2]朱孝平,张晓丽.职业教育与普通教育生源状况的比较——一个区域性的分析样本[J]. 职教论坛,2010(30):86-88.

个人能力描述篇8

描述段体现的变化

考研英语大作文的第一段为图画描述段,本次体现在这段的变化主要有两点:一是图画布局偏重对考生观察能力的考查,二是文字暗示不好翻译。下面我们来具体分析一下。

1. 图画布局

考研英语作文的第一段主要考查考生的观察能力。那些没有写作基础、只想依靠“黄金模板”的考生是很难蒙混过关的。而且近年来,出题者也不断提高题目各方面的难度。除了图画布局灵活,人物图画、动物图画和物体图画交织出现以外,近年的图画还多了不那么引人注目的趋势:人物以侧面形式出现。考生如果不仔细观察图画内容,可能就不大容易写出描述的语句,而若是描述语句少,就不符合“简要描述图画”的题目要求(depict the picture briefly),所以导致得分较低。

在2014年考研英语作文题《相携》和2015年考研英语作文题《手机时代的聚会》这两年的题目中,图画中的人物是正面的,人物动作、表情都能看得很清楚,可以描述的点比较多,利于考生写作时发挥。考生即使没有太多的词汇积累,只要仔细观察图画,用简洁的动词描述出人物动作和神态,也能完成图画描述的任务。考生不见得要把这段内容写得多精彩,只要涉及图画的要点,即使不能拿到满分,也能得到不错的分数。

这种情况到了2016年有所变化。在2016年考研英语作文题《与其只提要求,不如做个榜样》中,图画中的人物形象从正面变成了侧面,但是图中有父子两个人物,描述的空间依然很大。而到了2017年,在考研英语作文题《“有书”与“读书”》的图画中,人物形象则几乎变成了背面,除了还能勉强看到人物的脸型,无论人物的动作、神情还是周边的环境都显得比较单一,考生描述时可发挥的余地变小了。如果考生不仔细观察图画,很难找到写作线索。本次图画中给出的写作线索就非常少。

2. 文字暗示

与写作线索相反,2017年考研英语大作文的文字暗示是近四年比较多的一次。不仅有人物的内心独白,还有文字标题。考生如果不顾文字暗示,一味地生搬硬套或写“模板句”应付考试,就无法涵盖图画所有要点,从而得不到理想的分数。有些考生可能会问:文字暗示的翻译真的重要吗?“考研英语写作评分细则”中规定,如果要获得17分~20分的高分(即五档线),则必须涵盖图画所有要点。换言之,若不翻译题目文字暗示,应会被扣除相应的分数;而如果考生翻译文字暗示时出现了语法错误、单词用法错误,也会被扣除相应的分值,这是考研英语写作中考生需要注意的方面。

2017年图画的文字暗示中,两个人物的内心独白尚好处理,最不好写的恰恰是下方的文字暗示,即标题:“有书”与“读书”。这个标题无论用介词词组还是用动词翻译,都不好处理。如果译成with books and reading books,则and前后的词语形式不一致。如果译成have books and read books,又显得过于简单。此外“书”这个字在标题中出现了两次,哪个译成单数,哪个译成复数呢?考生如果没有较强的单复数意识,很容易想当然地将其译成have book and read book,在这种情况下,考生就会因单复数问题而丢分。

3. 怎样用简洁的语言写图画描述段

对于描述段,考生可以通过描写人物的动作和神态展开叙述。开头句洁一些,先从左边的年轻人写起,可描述为:他躺在椅子上,注视着很多书籍,说:“我有这么多书!”然后再描述右侧的年轻人,可描述为:他低着头,凝视着面前的一本书,显得认真而专注,说:“我争取今年读完20本书。”最后翻译出图画上的标题,从而结束描述段。但是考生要注意:翻译标题时,不要忘记单词首字母的大小写。在写描述段时,考生不需要使用大词和难词,但一定要完成题目的要求,并把题目的文字提示翻译出来。

描述段参考范文:A young man is lounging in a reclining chair with his left leg crossed over his right knee. His gaze is fixed on a rich collection of books on the shelves, as he says proudly, “I have so many books.” In the second picture, however, another youngster is reading his book carefully, but muttering to himself, “I want to read all twenty of these books.” The caption under the pictures reads, “Having Books and Reading Books.”

阐释段和看法段体现的变化

今年考生都反映,这次的作文题既像是考查“读书的重要性”,又像是考查“脚踏实地”“语言不如行动”,似乎都有些道理,又似乎都不是非常贴切。之所以产生这种感受,是由于题目中“有书”和“读书”的设置:一个年轻人藏书多却不读书,另一个年轻人拥有的书籍少但读书勤奋,这种一正一反的出题方法,将读书有益和脚踏实地的精神结合在一起,变成了双主题。

上一篇:一碗馄饨范文 下一篇:七言绝句格律范文