电机控制器范文

时间:2023-03-12 06:28:22

电机控制器

电机控制器范文第1篇

【关键词】模糊控制 PID 单片机 直流电机

【中图分类号】TP273.4;TM33 【文献标识码】A 【文章编号】2095-3089(2015)17-0230-02

引言

在直流电机的控制过程中往往具有不确定性和非线性,难以建立精确的数学模型,采用常规PID控制算法难以达到理想的控制效果。系统设计结合模糊控制算法,按模糊控制理论建立模糊控制规则并求出模糊控制表,根据提取到的直流电机采样信息查询模糊控制表来对电机进行速度与转向的控制。

1、直流电机控制系统

系统选用STC12C5A60S2作为主控芯片,用以完成对系统执行机构的控制、信息处理和直流电机的控制。在窗帘机的应用上面,直流减速电机可精确控制,又能弥补步进电机无电状态下不能转动的缺陷。采用L298N驱动直流电机,利用PWM调制与使能变换的方式可进行电机调速与变向。控制窗帘开合的过程中同时检测光电开关的状态,以确定当前窗帘/窗户的状态。通过对电机角速度的采样分析,利用单片机进行信息处理并优化控制。

2、PID控制

按偏差信号的比例、积分和微分进行控制的控制器称为PID控制器,其控制规律成为PID控制算法。如图1所示,给定值与输出值的偏差e(t)的比例、积分和微分线性组合,形成控制量u(t)的输出。

式中:u(t)-控制器的输出 Kp -控制器的比例系数。

Ti-控制器的积分时间常数。 Td-控制器的微分时间常数。

e(t)-控制器输入,给定值和被控对象输出值的差,称偏差信号。

PID控制器中的比例环节、积分环节、微分环节的参数都必须选取适当,否则也会使系统不稳定。(1)比例环节能迅速反映偏差从而减小偏差,控制作用强弱取决于Kp。Kp越大,则过渡过程越短,稳态误差也越小;但Kp越大,超调量也越大,越容易产生振荡,导致动态性能变坏,甚至会使闭环系统不稳定。(2)积分环节:只要存在偏差,积分的控制作用就会不断积累,输出控制量以消除偏差。但积分作用太强会使系统超调加大,控制的动态性能变差,甚至会使闭环系统不稳定。(3)微分环节:微分控制有助于减小超调量,克服振荡,提高系统的稳定性,但会使系统抑制干扰的能力降低。微分部分的作用强弱由微分时间Td决定。Td越大,抑制e(t)变化的作用越强;Td越小,反抗e(t)变化的作用越弱。

PID控制系统的连续时间信号经过采样和整量化后,变成的数字量无论是积分还是微分都只能用数值计算去逼近。因此PID控制规律的实现,也必须用数值逼近的方法。当采样周期相当短时,用求和代替积分、差商代替微商,使 PID 算法离散化,将描述连续时间 PID算法的微分方程,变为描述离散时间 PID 算法的差分方程,即为数字PID 位置型控制算式。

其中Kp、Ki、Kd分别为比例、积分、微分系数。

PID控制在稳定性、响应速度、超调量和稳定精度方面都体现很好,其适应性强,适应各种控制对象。但参数的整定是PID控制的一个关键问题,动态特性不太理想;PID控制不具有自适应控制能力,对于时变、非线性系统控制效果不佳。当系统参数变化时,控制性能会产生较大的变化,控制特性可能变坏,严重时可能导致系统的不稳定。

3、模糊控制

模糊控制是以模拟集合论、模拟语言变量和模拟推理为基础的一种智能控制方法。它模拟人的思维推理过程,构造一种非线性控制,以满足复杂的、不确定的过程控制需要。

模糊控制器的控制规律由程序实现。首先根据采样值得到模糊控制器的输入量并进行量化处理;量化后的变量进行模糊化处理,得到模糊量;根据输入模糊控制量及模糊控制规则,按模糊推理合成规则计算控制量(输出的模糊量);对模糊输出量进行模糊化处理,得到控制量的精确量,并进行输出量化处理,得到实际控制量。

3.1模糊控制器的设计

模糊控制器的设计包括四个层面:模糊控制器输入输出量的确定、输入输出变量模糊集合和隶属函数的确定、模糊控制规则表、反模糊化处理求取输出控制量。

在模糊控制器中,模糊控制规则表是系统控制自整定最重要的环节。变量包括系统偏差e和偏差变化率ec、输出控制量u。根据系统输出的偏差及偏差变化率趋势来消除偏差,得到模糊控制规则。

通过模糊控制规则表的查询,反模糊化处理可求取精确的输出控制量。

3.2自适应模糊控制算法

模糊控制与PID控制结合构成模糊PID控制。PID控制的关键是参数的确定,自适应模糊控制算法是用模糊控制来确定PID参数的,也就是根据系统偏差e和偏差变化率ec,用模糊控制规则在线对PID参数进行修改。先找出PID各个参数与e和ec之间的模糊关系,在运行中通过不断检测e和ec,再根据模糊控制原理来对各个参数进行在线修改,以满足在不同e和ec时对控制参数的不同要求,使控制对象具有良好的动、静态性能,且计算量小,易于在单片机上实现。

根据参数Kp、Ki和Kd对系统输出特性的影响,可归纳出在不同的e和ec时,被控参数Kp、Ki和Kd的自整定要求,从而可得模糊控制规则的语言描述为:

不同的偏差e和偏差变化率ec,对PID控制器参数Kp,Ki,Kd的整定要求不同。

4、结论

电机控制器范文第2篇

Abstract: With the increased demand of mechanical and electrical products on the winding quality,the original PLC control system of winding machine has been difficult to meet the requirements of evolving mechanical and electrical products. Winding machine PLC control system has the disadvantages of high cost,single work way and inconvenient human computer interaction. To solve this problem,we designed a new control system that could replace PLC control system - using single chip to control motor windings machine controller.

关键词:单片机;步进电机驱动器;加/减速控制

Key words: singlechip;stepper motor driver;accelerate/deceleration control

中图分类号:F270 文献标识码:A文章编号:1006-4311(2010)12-0218-01

1系统性能及控制要求

1.1 系统性能

在本控制系统中,主要利用控制器输出的CP、CW脉冲信号,通过步进电机驱动器对三台步进电机的转速、转向进行控制,带动机械传动机构工作,实现绕线,另外通过拖板电机实现绕组位置转动的控制,从而实现整个电机绕组的全自动化绕线操作。在生产过程中,可以根据操作的要求实现不同的绕线速度、线圈匝数等的设定。

1.2 控制要求

1.2.1 可预设多种控制模式。可预先设定慢速、中速、快速和拖板运行等四种操作模式。

1.2.2 每种控制模式下的加减速、步数可随意设定。在任一控制模式下的加减速曲线、运行步数都可事先设定。

1.2.3 可同时控制三台电机的同步工作和单独控制一台拖板电机工作。

1.2.4 具有断电数据保持功能,能记忆上次的参数及最后一次运行值。

1.2.5 具有数据显示及告警提示功能。

2设计方案的实现

2.1 硬件电路设计

2.1.1 整体电路设计思想。本设计采用STC89C51系列单片机芯片对步进电机进行控制,通过I/O口输出具有时序的方波作为步进电机的控制信号,信号经过步进电机驱动器驱动步进电机工作;同时采用二极管矩阵键盘来对电机的状态或设置进行控制,并用4位LED数码管显示出相关的参数,还利用AT24C02对系统参数进行存储。

2.1.2 各功能电路的实现。①电源电路。将交流220V经过降压、整流、滤波和稳压的形式,得到直流9V和5V对控制器进行供电。②单片机最小系统电路。本单片机系统采用宏晶科技推出的新一代超强抗干扰/高速/低功耗的STC89C51系列单片机芯片,它是MCS-51系列单片机的派生产品;它们在指令系统中、硬件系统和片内资源与标准的51系列单片机完全兼容。③键盘电路。采用二极管矩阵键盘电路,分别由P1.0-1.3作输入,当某一按键被按下时,相对应的端口被置为高电平,此时CPU检测到相应端口的变化,通过查表的方式确认按键的功能,减少CPU对各端口扫描时间,提高CPU的效率。④显示电路。采用4只共阳数码管作显示,分别利用P0口对各数码管的笔划段进行扫描,P2.4-2.7口作循环扫描显示控制,另外还通过P2和P3口作按键显示和告警音提示等功能控制。⑤存储电路。由于本系统需要存储的数据比较多,并且要具有断电数据保持功能,能对上次的参数及运行值进行记录,因此采用AT24C02存储器作数据存储。⑥接口电路。分别利用P1.4、P3.5、P3.4口经过ULM2003反相放大后作CP脉冲和CW脉冲的信号输出,对步进电机驱动器进行控制,从而达到控制步进电机转速和转向的目的。

2.2 软件程序设计

在该系统中,相应的控制信号由单片机来产生,根据需要通过键盘输入电机的转动方向、转动速度及转动步数,在工作时用数码管来动态显示运行的步数。所以软件部分由4大模块组成:系统监控、键盘扫描及处理、显示程序、控制信号产生程序。

2.2.1 系统监控模块。在监控模块中,应完成系统的启动,进行键盘扫描得到相应键值,完成对步进电机转向转速、步数及运行方式的设置,并使步进电机按要求进行工作。为增加控制的灵活性,键盘输入数据及启动命令在键盘扫描及处理程序中实现。

2.2.2 键盘扫描及处理、显示模块。本软件程序模块主要完成对键盘有无键按下进行确认。当有键按下时,通过查表方式确定按键值,并根据所得键值进行处理,包括所按键是输入键还是执行键。显示模块主要是完成在进行数据输入时,显示输入的数据值。

2.2.3 控制信号产生模块。①步进脉冲的产生。在采用单片机控制的步进电机开环系统中,控制系统的CP脉冲的频率或者CW换向脉冲的高低电平实际上就是控制步进电机的运行速度和方向。②步进电机起动及加/减速控制。速度控制中加/减控制是最基本的控制。电机由静止到达设定的最大的速度所需的时间是由调试决定的。加速度太大,电机甚至不能克服负载转矩而失步,加速度太少,则完成指定的运动耗费时间太多,加速度有两种方案:线性加/减速度控制和等步距加/减速度控制。③步进电机的换向控制。一般来说,驱动器的输入共有三路,它们是:步进脉冲信号CP、方向电平CW、脱机使能信号EN。它们在驱动器内部分别通过限流电阻接入光藕的负输入端,且电路形式完全相同,在这三路输入信号的共同的控制下,驱动器将输入合适的电流来控制步进电机完成指定的操作。

3结论

本控制器采用单片机控制的步进电机系统,其转动方向、转动速度及运行圈数可以通过键盘输入,运用程序对这些数据进行处理,由单片机发出相应的控制信号,增加了控制的灵活性,经实践使用,达到了预期的设计目的。本控制器对于不同的绕线系统,不同控制要求,通过修改相应的电路及相关程序即可实现,通用性强,具有自动化程度高、成本低、体积小、控制精确等优点,有很好的经济效益和广阔发展前景。

参考文献:

[1]刘国永,陈杰平.单片机控制步进电机系统设计[J].安徽技术师范学院学报,2002.

[2]赵龙庆,徐国栋.一种基于单片机的步进电机控制驱动器[J].西南林学院学报,2005,6.

电机控制器范文第3篇

关键词: 同步控制; 多轴电机; 模糊算法; PID算法

中图分类号: TN876.3?34 文献标识码: A 文章编号: 1004?373X(2017)10?0183?04

Abstract: A synchronous controller based on fuzzy and single neuron PID control strategy is studied for the multi?axis motor synchronous control. The contrastive analysis is performed for the synchronous control method studied in this paper and the conventional PID algorithm. The results show thatthe synchronous error of each motor affected by the multi?axis motor synchronous motion controller based on fuzzy and single neuron PID algorithm is smaller than that of the multi?axis motor synchronous motion controller based on conventional PID algorithm. If the load of the second motor is changed suddenly, the controller can restrain the influence of load mutation on multi?axis motor system effectively. The multi?axis motor synchronous motion controller based on fuzzy and single neuron PID algorithm can improve the dynamic performance and stability of the multi?axis motion synchronous motion system effectively.

Keywords: synchronous control; multi?axis motor; fuzzy algorithm; PID algorithm

0 引 言

要想有效处理多轴运动内部的协调关系,就需要通过使用多电机来实现有效管理。通常情况下,这种类型的电机保持工作状态,其用途如下:

处于运行状态的若干个电机的转速存在比例关系,也可能转速一致,在各种各样的制造设备中都用到了这种多电机,如纺织设备、造纸设备以及起重设备等。除此之外,在进行轮船测试和飞机控制系统的研制过程,通常会使用好几台大型电机联合作业,来提供驱动力。

数控机床、机器人等都属于高端重型设备,它们的精确度较高,在制造过程中,所用到的控制系统内部的电机转速必须协调一致,保持相位相等,将误差控制在标准范围内。只有这样,才能有效提升设备的精确度,保证设备运行过程中稳定安全[1?2]。文献[3?4]中研究了基于主从控制的多轴电机控制方法。在主从控制系统内部,包含了主电机和不同的从电机,后者的转速是基于前者的速度而设置的,从电机的转速要依附于主电机。这种类型的控制系统产生的结果简单有效,能满足需求。该控制系统内部的从电机之间的关联性不佳,信息传递不畅,这就导致电机的运行精确度下降,对外界的抗干扰能力有限。文献[5]中研究了基于交叉耦合控制的多轴电机控制方法。交叉耦合控制策略与其他形式的控制方式相比,有显著的区别。为了保证控制过程精确有效。它会把电机的转速误差信息传递给其他电机,对其转动速度进行补偿。当控制系统内部只有两台电机时,可以使用控制方法,但是当数目上升之后,控制过程会变得复杂,需要有更加合理的控制策略。

1 直流伺服电机数学模型

2 环形耦合控制策略

文献[7]提出了环形耦合同步控制方法。将耦合补偿原理和控制管理思维相结合,就会形成环形耦合控制方法。该控制方法一方面是基于系统内部电机转速和标准转速的误差而做出的,另一方面还要综合与邻近电机的速度误差。环形耦合控制系统结构如图2所示。为了使控制系统在开启和终止状态都有较好的性能,要求控制系统运行时,保持环形耦合,内部的电机信号相同。通过对图2进行分析可知,系统内处于邻近位置的电机的误差补偿才是同步的。如果有的电机转速出现异常,就会导致邻近的电机转速出现误差。通过使用环路耦合同步控制方法,产生的转速误差会因为补偿模块的存在,将误差信息传递出去。通常情况下,多电机的同步驱动程序收到的信号是相同的,运用转速耦合补偿模块,会有效提升系统的稳定性和一致性,从而能最大程度地避免外界因素的干扰[8]。

3 模糊?单神经元PID控制器

对于非线性和线性系统,人工神经网络都可以任意逼近;所以能够实现不确定性以及非线性系统的有效控制。在神经网络的神经元中存放着定量或者定性的信息,具有一定的容错性和鲁棒性。神经网络中的神经元对于任意函数都可以逼近,另外其具有易于实现、权值学习时间短、计算量小以及结构简单的优势,在一些单输出多输入的非线性处理单元中比较适合应用[9?11]。因此本文使用的模糊?单神经元PID 控制器,其原理见图3[12]。

使用上述控制器后,单神经元控制器包含4个可调参数,包括1个输出增益K和3 个权值学习速率。在单神经元PID 控制器的收敛性以及稳定性上输出增益K的影响较大。当K值增大时,就会提升单神经元控制器输出的调节量;降低调节时间就会加快收敛速度;然而当K值较大时就会出现超调现象,使系统发生震荡[15]。因此本文使用参数自整定的模糊控制器对增益K进行调节。模糊控制在控制无法建立精准数学模型的控制对象以及一些复杂对象的过程中能够发挥较好的效果。在一些交叉耦合严重、参数随工作点变动大以及高度非线性的控制过程中比较适合应用。其简单的设计过程对于被控模型精确性的依赖性较低。根据设计者工程经验以及理论所建立的控制规则能够对较好的控制思想进行采纳。

用于对增益K进行调节的模糊控制器的输入为电机的同步误差和误差变化率。为了便于计算机计算,分别使用0.1和0.05的量化因子将同步误差和误差变化率归一到范围为[-1,1]的基本论域内。将三角形分布的隶属度函数作为输入/输出变量能够对算法的执行效率进行提升,应用这种函数时,模糊论域的步长与隶属度幅值相等。按照下面的原则选择模糊规则:如果系统有较大偏差,为了降低调节时间,加快相应速度,可以增大K值;如果有较小的误差,对稳态性能进行提升可以采用较小的K值。模糊规则如表1所示[16]。

4 实例仿真研究

通过仿真对本文研究的多轴电机同步运动控制器进行分析。直流伺服电机参数设置如下:电枢电阻[Ra]为1.8 Ω;电枢电感[La]为0.003 65 H;电动势因子[Ke]为0.354 9 V/rad;电机转矩因子[Kt]为0.000 163 51 N・s/rad;转子转动惯量[17][J]为0.000 184 62 kg・m2。多轴电机同步运动控制Matlab仿真模型如D4所示。

在仿真模型中,对第二台电机施加范围在150~900 N・m的随机载荷,对第一台和第三台施加恒定的600 N・m的恒定载荷,从而研究不均匀载荷条件下,控制器的同步控制性能。对第二台电机施加的随机载荷如图5所示。

在此使用常规PID算法与本文使用的方法进行对比,通过仿真能够得到两种控制器作用下,电机1和电机2转速同步误差及电机2和电机3转速同步误差如图6和图7所示。

从电机1和电机2转速同步误差及电机2和电机3转速同步误差仿真结果可以看出,相比基于常规PID算法的多轴电机同步运动控制器,在本文研究的基于模糊?单神经元PID算法的多轴电机同步运动控制器作用下,各个电机之前的同步误差更小。当第二台电机发生载荷突变时,控制器能够有效抑制载荷突变对整个多轴电机系统的影响。

本文研究的基于模糊?单神经元PID算法的多轴电机同步运动控制器能够有效提升多轴电机同步运动系统的动态特性和稳定性。

5 结 论

本文针对多轴电机同步控制问题,研究一种基于模糊?单神经元PID算法以及环形耦合策略的同步控制器。使用本文研究的同步控制方法与常规PID算法进行对比分析。结果表明,相比基于常规PID算法的多轴电机同步运动控制器,在本文研究的基于模糊?单神经元PID算法的多轴电机同步运动控制器作用下,各个电机之前的同步误差更小。当第二台电机发生载荷突变时,控制器能够有效抑制载荷突变对整个多轴电机系统的影响。

参考文献

[1] 李乐,李慧鹏,石阳.绕线机的多轴同步控制算法研究[J].测控技术,2014(2):87?90.

[2] 刘晓萌.定长送料系统多轴同步控制[D].杭州:浙江大学,2012.

[3] 赵梅玲.主从系统同步控制策略及应用研究[D].合肥:合肥工业大学,2012.

[4] 邹缙.多电机变频调速协同智能控制系统的研究[D].武汉:武汉科技大学,2013.

[5] 曹玲芝,李春文,牛超,等.基于相邻交叉耦合的多感应电机滑模同步控制[J].电机与控制学报,2008(5):586?592.

[6] 飞,王伟涛,万亚斌.基于相邻偏差耦合的多电机模糊PID同步控制[J].机械设计与研究,2013(5):45?48.

[7] 刘然,孙建忠,罗亚琴,等.基于环形耦合策略的多电机同步控制研究[J].控制与决策,2011(6):957?960.

[8] 刘然,孙建忠,罗亚琴,等.多电机滑模环形耦合同步控制策略研究[J].中国机械工程,2010(22):2662?2665.

[9] 陈杰,陈冉,陈家伟,等.变速风力发电机组的模糊?单神经元PID控制[J].中国电机工程学报,2011(27):88?94.

[10] 陈杰.变速定桨风力发电系统控制技术研究[D].南京:南京航空航天大学,2011.

[11] 郑宇.基于神经元PID的风力发电机组独立变桨控制[J].水电能源科学,2012(2):151?154.

[12] 董春芳,孟庆鑫.多缸电液调平系统相邻交叉耦合同步控制[J].哈尔滨工程大学学报,2012(3):366?370.

[13] 唐春林,郑宇.基于神经元PID的风力发电机组独立变桨控制[J].人民长江,2012(5):93?97.

[14] 周箴,赵金,万淑芸,等.基于单神经元控制器交流PWM调速系统[J].华中理工大学学报,1998(z1):69?71.

[15] 曾东.基于神经网络的风力发电机组变速变距控制器研究[D].沈阳:沈阳工业大学,2008.

[16] 杨鑫.风力发电机组新型传动系统及变速变桨距控制策略优化[D].重庆:重庆大学,2013.

电机控制器范文第4篇

【关键词】无刷直流电机;位置控制;遗传算法;参数优化

直流电机控制器通常是由串级进行控制,分为位置环、速度环和电流环,可以有效保证这个系统的稳定性和响应速度。当电流环内部和速度环的参数发生受到扰动时,它们就能起到很好的抑制作用,减少对位置环的影响,从而可以保证系统的稳定[1]。对直流电机控制参数进行优化设计,可以在满足要求的前提下,进一步提高性能。但采用传统的优化设计方法,已很难解决这种全局最优化问题。近几年,作为全局优化算法之一的遗传算法,得到了广泛的应用,本文针对无刷直流电机的PID控制器采用遗传算法进行优化设计[2]。

1 直流电机位置控制系统

直流电机位置控制系统由位置调节器、电流调节器和转速调节器串级连接[3]。直流电机位置控制系统结构图如图1所示。

已知某直流电机控制回路及直流电机主要参数计算如下:

采用经典整定方法得到的控制器的超调量比较大,未达到实际工艺的要求。如果要得到满足要求的控制器,需要在此基础上进一步手工整定。并且,控制器的效果是否最优,也没有明确的答案。因此,本文采用遗传算法进行直流电机位置跟踪系统控制器参数的寻优。

针对直流电机位置跟踪系统的特点,本文选择系统的上升时间,稳态误差和超调量所占比重的组合作为优化的目标函数。同时,为了保证控制器的控制效果,减少系统的震荡,引入系统的振荡次数τ,并乘以惩罚函数λ3[4]。这样目标函数最终变为:

2 优化条件及结果

采用遗传算法寻优中使用的样本个数为50,本文中在遗传操作时pc和pm参数取值为0.25和0.1。控制参数Ki的取值范围统一为[0,50],权值步长0.01s。采用二进制编码,经过500代寻优。由于电机的积分特性,位置环控制器采用PD 控制器。因此,遗传算法寻优中需要同时整定6个控制器参数。

在上述条件下最后得到电流、转速和位置三个控制器参数分别为:[10 10.05] [420.10] [4 1.12],仿真结果如图4。仿真结果表明:由于整个系统花费的上升时间更快,改进的算法所需达到稳定的时间显然小于采用经典法的控制器,抖动更小,超调量满足实际工艺要求,基于遗传算法整定后的控制器控制参数更优于经典控制器的效果。

3 结论

经典的整定方法不但过程繁琐,而且还不能保证整定的控制器是最优的。因此,为了获得满意的系统性能,本文将遗传算法寻优引入到直流电机位置跟踪系统控制问题中。采用遗传算法进行控制器参数的全局寻优,同时整定电流、转速和位置控制器的参数。仿真结果:采用遗传算法设计的PID控制器能使控制系统的输出响应跟踪更快及无超调量,在保障系统稳定的基础上能够保证系统的控制效果,提高了系统性能,达到了实际工艺要求。

【参考文献】

[1]魏林.无人机直流无刷电动舵回路控制技术研究[D].南京航空航天大学,2008.

[2]刘金琨.先进PID控制及其MATLAB仿真[M].北京:电子工业出版社,2003,1.

[3]黄仲林.自动控制原理的MATLAB实现[M].北京:国防工业出版社,2009.

电机控制器范文第5篇

关键词: 低压电机 再启动控制器设计

中图分类号: S611 文献标识码: A 文章编号:

前言:由于种种外界原因,例如:雷击、大风等原因造成了电网的瞬间失压事故,继而导致了接触器的释放,最终造成了电动机的停机。这种危险动作特别是对于石油化工等高危险行业来说,具有着重大意义,因为轻则造成了原料的浪费,环境的污染;重则造成了火灾、爆炸等事故对企业产生极大的破坏。因此,低压点击的再启动技术(短暂停电后,电动机完全停止运行或减速运行时重新启动的技术)得到了广泛的应用。本文通过对一种现阶段得到广泛实用的新型低压电动机再起控制器的工作原理、硬件设计、软件设计等方面的介绍,给我们直接展示出其优异性,例如维护方便、控制精确、体积小、便于操作的优点。

1.工作原理

低压再起控制器的工作原理就是通过24小时,不间断的对电网电压的监测,保证在电网发生端点的瞬间,低压接触器自动断开其自保持开关,继而可以在电网电压的再设定时间内(0~10分钟),自动接通控制器的辅助触点,再次接通由于断电造成断开的接触器。其主要极限图如下图。其中Tm为断电响应时间上限,T为断电时间周期,只有断电响应时间上限Tm大于实际断电时间T,则再起动控制器方可顺利工作。

2.硬件设计

低压电机的组成有5部分,他们分别是输出控制电路、电压采样转换电路、人机交互电路、电源净化电路、电源电路。其主要核心为单片机。

2.1 单片机的选型

通过综合分析再起控制器的各方面性能,选择由国外MicroChip公司制造的PIC16F877型单片机,其主要的性能如下:主要工作电压范围是2V~5.5V DC,相比市场上的同类产品,它具有着良好的低功耗性能,例如:当工作电压为3伏,工作时钟为32KHz时,其工作电流仅仅为20LA;并且由于该单片机继承了8通道的256个字节的EEPROM以及10位APD;与此同时,相比其他产品它还具备了非常良好的抗电磁干扰的能力。

2.2 电源电路设计

再起动控制器的工作电源由交流接触器的交流低压变换而得。其电路设计有着较高的技术要求: 一方面要满足不使用电池的条件下,能够在系统掉电10分钟的情况下仍能够正常工作,另一方面要求供电电源的品质要满足单片机的正常工作要求,需要较好的电源滤波功能。下图为低压电机再起动控制器的电源电路,电容C1的作用是在系统掉电时,维持系统继续工作的电源,其容值的选择由C= IΔ×ΔT/ΔU 来确定,I是系统的工作电流,单位是安,ΔT是系统的工作时间,单位为秒,ΔU是ΔT工作时间内电容C1的电压降落,在本系统中ΔU= 5.5V-2.7V=2.8V。

低压电机再起动控制器的电源电路

2.3 掉电识别电路设计

掉电识别是通过单片机对电网电压的实时采样检测来实现的。因为交流接触器的保持线圈在掉电时间20ms 左右,交流接触器触点释放,所以再起动控制器为了即时识别电网瞬时的掉电,设计成能够响应10ms的瞬间掉电。这里采用交流采样方式。由于PIC16F877 型内置APD 为单极性,所以采样电路要完成电网电压的降压和极性变换。设计中采用微型的电流型电压互感器,将输入电压转换成与之成正比的小电流,再经过次级的电流转换电压电路,转换成0~5V之间的偏置电压信号,送给APD单元进行采样处理。该电路结构简单,线性度好,能够在单极性电源的条件下正常工作。

2.4 人机交互设计

再起动控制器为用户提供了良好的人机交互界面。兼顾小型化设计的要求,人机交互电路由三个触摸按键和三个数码管构成。三个按键分别设计成功能转换键、加键和减键。人机交互界面具备晃电允许时间Tm、再起动延时时间Td、低电压设定值UF、恢复电压设定值UH、当前电压值的显示与设定等功能。

3.软件设计

再起动控制器的软件采用C语言进行编写。按功能可分为三部分。一是电网电压的实时测量,根据其实时性的要求,用单片机的中断功能来实现;二是人机交互部分;三是掉电判断和再起动。控制流程的主要判据是在电动机状态改变时,实时准确地对系统工作电压的测量,这里采用了快速交流采样原理,可以在电网每个周波20ms时间内可以完成一次测量转换,完全能满足本系统的实际需要。

结束语:

综上所述,随着我国经济的飞速发展,科学技术得到了全面的进步,特别是在低压电机再起控制器中高科技的使用比率越来越高,伴随着高科技的广泛应用也促进了低压电机水平的提升和改进。先进的技术得到了应用,使得新型再起控制器的体积更加小巧,性能更加可靠,抗干扰能力更强。由于攻克了整机的电磁兼容以及工作电压的准确测量等技术问题,低压电机再起控制器的设计得到了长足的进步,产品得到了广泛的应用,效果非常良好,推动了我国低压电机事业的可持续发展。

参考文献:

[1] 吕锦寿.电动机自动再起动的实现方法[J].电气市场,2001,(1):18-21。

[2] 孙伟森.石油化工装置抗晃电措施的探讨[J].电工技术杂志,2003,(9):82-84。

电机控制器范文第6篇

关键词: DSP; 直流调速; 电动车; PWM

中图分类号: TN710?34; TP272 文献标识码: A 文章编号: 1004?373X(2015)12?0154?02

以前控制直流电机多由单片机完成。该方式缺点是接口繁琐、速度慢,且不易在高温、高压等恶劣环境下工作[1]。采用一种新型直流电机控制器――DSP控制器解决了单片机控制的缺点,其具备很多优点,该控制器不仅具有面向电机的控制能力,同时它便于修改控制参数以及具有自诊断等功能[2]。

1 直流电机控制器硬件平台设计

该调速系统主要由DSP芯片、脉宽调制电路、功率驱动电路、电机以及电流/电压传感器组成。TMS320LF2407是TI公司专门针对电机、逆变器、机器人、数控机床等控制而设计[3],工作温度范围为-40~125 ℃,针脚数为144,频率为30 MHz,电源电压最大为3.6 V,最小为3 V。存储器容量RAM为5 KB,接口类型为CAN、SCI、SPI,封装类型为剥式[4]。图1为基于DSP控制器结构框图。

将传感器检测到的反映电机状态的电压、电流、转速信号传向DSP控制器A/D转换器[4],经过模/数转换后的信号和它们相应的设定值经过DSP中的控制算法处理后,就产生一个PWM波的控制输出量[5]。PWM经济、节约空间且抗噪性能强,是一种值得使用的有效技术。这个PWM波输出量经过脉宽调制后加载到驱动电路中并调节电枢电流,直至直流电机调速系统达到稳态。

2 软件控制

2.1 TMS320LF2407 DSP控制软件

TMS320LF2407 DSP控制软件流程图如图2所示。

对TMS320LF2407 DSP的软件控制首先对寄存器进行初始化。过段时间后对输入、输出(I/O)口及模数(A/D)采样寄存器进行初始化,其次读取设定电流及细分数和E2PROM中电机转子初始位置。E2PROM 是“电可擦除可编程只读存储器”,最后DSP的参数变量进行初始化,过段时间后取得ia、ib电流偏移量。同时中断启动,允许PWM输出控制量,并反复检测细分开关状态及记录转子位置。

2.2 转子位置和速度检测

位置检测不但用于换相控制,而且还能产生速度控制量。位置信号是通过3个霍尔传感器得到的,霍尔传感器根据霍尔效应工作的[6]。

3 测试结果与分析

使用TMS320LF2407 仿真器进行调试,实验电机采用永磁直流电机[7],其参数如下:额定功率[P=20 kW],额定电压[UN=120 V],额定电流[IN=180 A],额定转速[nN=3 000 r/m],电枢电阻[Ra=0.018 4 Ω]。电感[L=0.128 mH],折算到电动机轴上拖动系统飞轮惯量[GD2=J=17.4 N?m2]。电磁时间常数[Kt=6.96 ms],电势常数[Ke=0.042 1 V/(r/m)],转矩常数:[Km=0.4 N?m/A],机电时间常数[tm=20 ms]。图3为PWM输出曲线,由图4可知,在电流闭环作用下,电流迅速上升至指定值并稳定下来,直到电压上升到最大允许值。此后,电压稳定下来而转速继续上升,因此电流急速下降,直到与负载电流相等为止,这时基本达到稳态状态[9]。

4 结 语

本文在电压闭环系统改进的基础上,构建了基于DSP控制的全数字直流PWM调速系统。将工业控制中普遍使用的算法用在DSP上可以很好的实现,可用于直流电机控制的PWM波形输出。实验证明该系统控制准确且运行速度提高60%以上,电机转速平稳,在工业生产中可推广使用。

参考文献

[1] 陈Z华,李日隆,谢晓峰.基于专用控制芯片的直流电机控制系统[J].电机电器技术,2008(3):25?28.

[2] 章玮,姚维,潘再平,等.数字信号处理器(DSP) 在电机控制中的应用[J].中小型电机,2009,28(4):33?35.

[3] 王晓明,王玲.电动机的DSP控制[M].北京:北京航空航天大学出版社,2004.

[4] 晏昌猛,潘俊民.基于DSP控制的无刷直流电机在电动执行器中的应用[J].工业仪表与自动化装置,2003(5):26?28.

[5] 韩安太,刘峙飞,黄海.DSP控制器原理及其在运动控制系统中的应用[M].北京:清华大学出版社,2009.

[6] 李潮泳,林国斌,尚敬.基于TMS320LF240的串行通信设计[J].微计算机信息,2003,19(4):8?10.

[7] 常斗南,李全利.可编程序控制器[M].北京:机械工业出版社,2002.

[8] 柴天佑,刘德荣.基于数据的控制、决策、调度与故障诊断[J].自动化学报,2009(4):13?15.

电机控制器范文第7篇

关键词:步进电机;FPGA;控制

中图分类号:V233.7+3文献标识码:A文章编号:1009-3044(2009)25-7300-03

Based on VHDL the Development of Stepper Motor Controller

HAN Tuan-jun

(Shaanxi University of Technology, Hanzhong 723000, China)

Abstract: In this paper, a divided driving circuit for stepping motor controlled by FPGA is put forward, based on the analysis of the principle of stepping motor divided driving. In the design,hardware description language(VHDL) is used to describe the functional module of the stepper motor controller. Through compiling and simulation under the MAX+plusII, we can complete the design of the stepper motor controller.

Key words: stepper motor controller;FPGA; control

步进电机是一种将电脉冲转化为角位移的执行机构,它结构简单、工作可靠,能将数字的电脉冲输入直接转换为模拟的输出轴运动。步进电机作为执行元件,是机电一体化的关键产品之一,广泛应用于各种自动化控制系统中。

1 步进电机控制器的总体设计

采用FPGA器件对步进电机进行控制,利用FPGA中的嵌入式EAB,可以构成存放电机各相电流所需的控制波形数据。利用FPGA设计的数字比较器可以同步产生多路PWM电流波形,对多相步进电机进行灵活控制。通过改变控制波形的数据、增加计数器的位数,可以提高计数器的精度,从而可以对步进电机转角进行任意角度细分,实现步进电机转角的精确控制。此次设计采用的是四相步进电机,利用FPGA器件,通过VHDL编程仿真,对电机进行步距角细分,转速及其正反转控制。其细分控制框图如图1所示。

2 设计原理

2.1 步进电机的工作原理

该步进电机为一四相步进电机,采用单极性直流电源供电。只要对步进电机的各相绕组按合适的时序通电,就能使步进电机步进转动。图2是该四相反应式步进电机工作原理示意图。

开始时,开关SB接通电源,SA、SC、SD断开,B相磁极和转子0、3号齿对齐,同时,转子的1、4号齿就和C、D相绕组磁极产生错齿,2、5号齿就和D、A相绕组磁极产生错齿。当开关SC接通电源,SB、SA、SD断开时,由于C相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动,1、4号齿和C相绕组的磁极对齐。而0、3号齿和A、B相绕组产生错齿,2、5号齿就和A、D相绕组磁极产生错齿。依次类推,A、B、C、D四相绕组轮流供电,则转子会沿着A、B、C、D方向转动。

2.2 步进电机控制器的设计原理

2.2.1 步进电机细分驱动原理

步进电机的驱动是靠给步进电机的各相励磁绕组轮流通以电流,实现步进电机内部磁场合成方向的变化来使步进电机转动的。设TA、TB、TC、TD为步进电机A、B、C、D四相励磁绕组分别通电时产生的磁场矢量,TAB、TBC、TCD、TDA为步进电机中AB、BC、CD、DA两相同时通电产生的合成磁场矢量。当给步进电机的A、B、C、D四相轮流通电时,步进电机的内部磁场从TA-TB-TC-TD,即磁场产生了旋转。一般情况下,当步进电机内部磁场变化一周(360°)时,电机的转子转过以个齿距,因此,步进电机的步距角θB可表示为:

θB=θM/Nr

式中Nr为步进电机的转子齿数;θM为步进电机运动时两相邻稳定磁场间的夹角。θM与电机的相数(M)和电机的运行拍数有关。当电机以单四拍方式运动时,θM=90°;当电机以四相八拍的方式运行时,θM=45°。和单四拍方式相比,θM和θB都减小了一半,实现了步距角的二细分,但是在通常的步进电机驱动线路中,由于通过各相绕组的电流是个开关量,即绕组中的电流只有零和某一额定值两种状态,相应的各相绕组产生的磁场也是个开关量,只能通过各相的通电组合来减小θM和θB。

但是,这样可达到的细分数很有限。以四相反应式步进电机为例,最多只能实现二细分,对于相数较多的步进电机可达到的细分数稍大一些,但是也很有限。因此要使可达到的细分数较大,就必须能控制步进电机各相励磁绕组中的电流,使其按阶梯上升或下降,即在零到最大值相电流之间能哟多个稳定的中间电流状态,相应的磁场矢量幅值也就存在多个中间状态,这样,相邻两相或多相的合成磁场的方向也将由多个中间状态。四相步进电机八细分时的各相电流以1/4的步距上升或下降的,在两相TA、TB中间插入七个稳定的中间状态,原来一步所转过的角度θM将由八步完成,实现步距角的八细分。

2.2.2 步距细分的系统构成

该系统是由PWM计数器、ROM地址计数器、PWM波形ROM存储器、比较器、功放电路等组成。其中,PWM计数器在脉宽时钟作用下递增计数,产生阶梯型上升的周期性的锯齿波,同时加载到个数字比较器的一端;PWM波形ROM输出的数据A[3..0]、B[3..0]、C[3..0]、D[3..0]分别加载到各数字比较器的另一端,当PWM计数器的值小于波形ROM输出数值时,比较其输出低电平;当PWM计数器的计数值大于波形ROM输出数值时,比较其输出高电平,由此可输出周期性的PWM波形。根据步进电机八细分电流波形的要求,将各个时刻细分电流波形所对应的数值存放于波形ROM中,波形ROM的地址由地址计数器产生。通过对地址计数器进行控制,可以改变步进电机的旋转方向、转动速度、工作/停滞状态。FPGA产生的PWM信号控制各功率驱动电路的导通和关断,其中PWM信号随ROM数据而变化,改变输出信号的占空比,达到限流及细分控制,最终使电机绕组呈现阶梯型变化,从而实现步距细分的目的。

输出细分电流信号采用FPGA中ROM查表法,他是通过在不同地址单元内写入不同的PWM数据,用地址选择来实现不同通电方式下的可变步距细分。

3 步进电机的仿真波形及分析

u_d为步进电机正/反转控制,clr为步进电机的工作/停止控制,clk2为步进电机转动速度控制,Y0,Y1,Y2,Y3分别对应步进电机的A,B,C,D四相电流,s端为选择细分控制。

1)当clr端为1时步进电机控制器停止工作,其工作时序仿真波形如图3所示。

通过仿真波形可以看出:当clr=1时,控制器的控制器的各个端口都停留在初始状态,这表示控制器处于停止状态。

2)当clr端口为0时步进电机开始工作。

A:当u_d=1时步进电机正转,设定clk周期为50ns,clk2周期为400ns。由s端控制步进电机是否进行细分操作的控制,s=1时表示进行细分操作,s=0时表示步进电机不进行细分操作。其仿真波形图分别如图4,图5。

B:当u_d=0时步进电机反转,s=1时表示步进电机进行细分操作,s=0时表示步进电机不进行细分操作。设定clk周期为50ns,clk2周期为400ns。其仿真波形图分别如图6,图7。

通过对图4、图5的分析不难理解图6、图7的工作过程。

图6由于u_d=0,s=1,步进电机进行反向细分运动,即由A-AD-D-DC的运动。

图7进行的是无细分反向运动,即A-D-C-B-A的运动过程。其输出数据X[3..0]由0011B-1001B-1100B-0110B进行变化。

C:当改变clk2时可以控制步进电机转动的速度,设定clk为50ns,u_d=1,clr=0,s=0,

① 令clk2为400ns其仿真波形如图8。

② 令clk2为200ns时其仿真波形如图9。

由以上两个图对比可知通过减小clk2的周期,步进电机控制器的运行速度明显加快了,同样可以改变clk2的周期使步进电机控制器的运行速度减慢。通过以上对步进电机的仿真的分析,该设计实现了对步进电机的各种基本控制。

参考文献:

[1] 潘松,黄继民. EDA技术实用教程[M]. 北京:科学出版社,2005.

[2] 高钟毓. 机电控制工程[M]. 北京:清华大学出版社,2002.

[3] 刘宝延,程树康. 步进电机及驱动控制系统[M]. 哈尔滨:哈尔滨工业大学出版社,1997.

[4] 王季秩,陈景华.电机实用技术[M]. 上海:上海科技技术出版社,1997.

[5] 侯伯亨,周端. 数字系统设计基础[M]. 西安:西安电子科技大学出版社,2000.

电机控制器范文第8篇

关键词:步进电机;控制器;现场可编程逻辑门阵列;硬件描述语言

中图分类号:TP29 文献标识码:B

文章编号:1004-373X(2009)01-148-03

FPGA Implementation of Stepping Motor Controller

PAN Tao,CHENG Gengguo

(Wuhan University of Science and Technology,Wuhan,430081,China)

Abstract:This paper introduces a design for the stepping motor controller based on FPGA,after analyzes the working principle of stepping motor,VHDL codes and the simulation results through Quartus Ⅱ are presented.At the same time,using VHDL language control to be possible to act according to the stepping motor′s differences,the change of module′s program parameter might implement the different model to stepping motor′s control,it is advantageous in stepping motor′s widespread application.

Keywords:stepping motor;controller;field programmable gate array;VHDL

0 引 言

随着步进电机广泛地应用于数字控制系统中作为伺服元件,步进电机在实时性和灵活性等性能上的要求越来越高。那么如何灵活、有效地控制步进电机的运转成为研究的主要方向。这里采用现场可编程逻辑门阵列(Field Programmable Gate Array,FPGA),通过VHDL语言编程来实现四相步进电机的控制。利用FPGA设计具有以下优点:

硬件设计软件化 FPGA的开发在功能层面上可以脱离硬件在EDA软件上做软仿真。当功能确定无误后可以进行硬件电路板的设计。最后将设计好的,由EDA软件生成的烧写文件下载到配置设备中去,进行在线调试,如果这时的结果与要求不一致,可以立即更改设计软件,并再次烧写到配置芯片中而不必改动外接硬件电路。进行分层模块设计后系统设计变得更加简单,在实时性和灵活性等性能上都有很大的提高,有利于步进电机的运动控制。

高度集成化,高工作频率 一般的FPGA内部都集成有上百万的逻辑门,可以在其内部规划出多个与传统小规模集成器件功能相当的模块。另外,一般的 FPGA内部都有PLL倍频和分频电路模块,这样可以在外部采用较低频率的晶振而在内部获得较高频率的时钟,进一步解决了

电磁干扰和电磁兼容问题。

1 步进电机的工作原理

步进电动机是一种自动化执行部件,和数字系统结合可把脉冲数转换成角位移,实现其正转、反转、手动和自动控制。四相步进电机有两组线圈A和B。A,B两组垂直摆放线圈的电流方向的排列组合,最多可以产生8种磁场方向,分别是0°,45°,90°,135°,180°,225°,270°,315°。表1给出了四相步进电机的8个方向和电流以及电压信号的关系。

四相电动机有3种激磁方式:

一相激磁法:当目标角度是90°的整数倍时,采用这种方法。

二相激磁法:当目标角度是45°,135°,225°,315°的整数倍时,采用这种方法。

一、二相激磁法:即完全按照表1所列的信号顺序。

2 步进电机定位控制器的整体设计

步进电机定位控制器的系统主要由步进电机方向设定电路模块、步进电机步进移动与定位控制模块以及编码输出模块构成。前两个模块完成电机旋转方向设定、激磁方式和定位角度的换算等工作,后一模块用于对换算后的角度量编码输出。系统框图如图1所示。

2.1 步进电机定位控制器整体架构的VHDL语言设计及仿真

(1) 根据步进电机定位控制器的系统组成框图可以定义输入和输出端口:

reset:IN STD_LOGIC;dir:IN STD_LOGIC;clk:IN STD_LOGIC;ini:IN STD_LOGIC;manner:IN STD_LOGIC_VECTOR (1 downto 0);angle:IN INTEGER range 255 downto 0;baBA:OUT STD_LOGIC_VECTOR (3 downto 0)

(2) 中间变量初始化定义如下:

signal count:INTEGER range 0 to 7;signal cntinc:INTEGER range-2 to 2; signal angleDnCount:INTEGER range 255 downto 0

(3) 步进电机定位控制器顶层电路的VHDL程序代码:

在下面的程序中只考虑逆时针操作模式即(dir=0)。

begin

step_motor_direction:block--步进电机方向设定电路模块

begin

process(dir,manner,angle)

begin

--if ini=′1′ then

cc<=conv_integer(manner);

if dir=′0′ then--逆时针方向旋转

case cc is

when 1 =>--一相激励

count<=0; cntini<=0; cntinc<=2;

angleDnCntDec<=2;--"10";

when 2 =>--二相激励

count<=7; cntini<=-1; cntinc<=2;

angleDnCntDec<=2;--"10";

when 3 =>--一-二相激励

count<=0; cntini<=0; cntinc<=1;

angleDnCntDec<=1;--"01";

when others => --manner="00" autodetect

if (angle rem 2) =1 then--二相激励

count<=7; cntini<=-1; cntinc<=2;

angleDnCntDec<=2;--"10";

else--一相激励

count<=0; cntini<=0; cntinc<=2;

angleDnCntDec<=2;--"10";

end if;--angle

end case;--manner

end process;

step_motor_moving:block--步进电机步进移动与定位控制模块

counting_reset: process(reset,ini,angle,clk)

begin

if reset=′1′ then

count<=0;angleDnCount<=0;

elsif clk′event and clk=′1′ then

if ini=′0′ then

count<=0+cntini; angleDnCount<=angle;

else

count <= count+cntinc;

if angleDnCount> angleDnCntDec then

angleDnCount<=angleDnCount-angleDnCntDec;

else

angleDnCount <= 0;

end if;

end if;

end if;

end process;

table_mapping:block--编码输出模块

baBA<="0000" when angleDnCount=0 else

"0001" when count=0 else

"0011" when count=1 else

"0010" when count=2 else

"0110" when count=3 else

"0100" when count=4 else

"1100" when count=5 else

"1000" when count=6 else

"1001";when count>=7;

end stepmotor_arch;

2.2 步进电机方向电路模块设计

该模块的功能是设定步进电机的旋转方向(顺/逆时针转动),并设定电动机在顺/逆时针时所需的初值与累加/减值。给出逆时针操作模式的技术规则和仿真输出(ini=0赋初值,ini=1时开始计数)。

如果manner=00,这时进行自动判断,若angle步进角为偶数(角度设定可以被90整除)电路使用一相激磁法,则count的初始值为000(cntini<=0),每次加2;否则电路使用二相激磁法,count的初始值为111(cntini<=111),每次加2;angleDnCntDec每次减2。得到的仿真结果如图2所示。

表2是模块按不同励磁方式输出时各个初值以及累加/减值的设定真值表。

2.3 步进电机移动与定位控制模块设计

该模块的主要功能是利用赋初值ini将数值传到该模块中并配合输入的clk作为同步控制信号,进行步进电机的步进移动与定位控制。步进电机定位功能通过一个减法器实现:在每个clk脉冲上升沿,设定步进角倍数,angleDnCount减去不同激磁方式下设定的累加器计数值angleDnCntDec,判断差值小于设定的累减计数时,步进电机旋转到预定角度停止输出驱动端口信号,来实现定位功能。

2.4 编码输出模块

该模块的主要功能是将count与angleDnCount产生的数值经过编码,再通过baBA输出到步进电机,来对电机进行控制。模块仿真图见图2。

假设reset=1,则将count和angleDnCount设置成0。

假设reset=0,clk为上升沿触发且ini=0时,就将设定的初值(cntini与angle)赋给count和angleDnCount两个信号端,也就是(count<=0+cntini)与(angleDnCount<=angle)。

假设reset=0,clk为上升沿触发且ini=1时,则将count与cntini相加,再将结果存为count。然后判断angleDnCount的值是否大于angleDnCntDec。如果大于,则用angleDnCount减angleDnCntDec,将结果存为angleDnCount;否则,将angleDnCount设为0(因为此时angleDnCount的值小于angleDnCntDec,表示电机已经到达设定位置,故不需要继续转动了)。BaBA [3..0]是将count与angleDnCount产生的数值经过编码后输出到四相步进电机的端口的。

3 Quartus Ⅱ仿真结果

上述程序在ALTERA公司免费提供的Quartus Ⅱ环境下编译通过,适配的FPGA器件为FLEX10K10。最后得到的系统仿真图及生成的系统模块符号图分别如图3,图4所示。

reset是系统内部自复位信号;dir是步进电机正反转的方向控制;clk是由外部提供的时钟信号;ini是赋初值的使能开关;manner[1..0]是激磁方式的选择开关(00:自动检测角度输入,决定激磁方式;01:一相激磁;10:二相激磁;11:一、二相激磁);angle[7..0]是步进角的倍数设定数如引脚;baBA[3..0]是系统输出信号引脚,是内部计数器的count[3..0]数值编码的结果。

4 结 语

步进电机作为一种数字伺服执行元件,具有结构简单,运行可靠,控制方便,控制性能好等优点,但现实中步进电机的控制比较复杂。

这里设计的步进电机控制器方法简单,支持四相步进电机的三种励磁方式、正反转运行,这种基于 FPGA的设计方法,可以加速同类型产品的开发速度,节约投资。并且可以根据步进电机的不同,改变分层模块的VHDL程序的参数,实现不同型号步进电机的控制,在实际应用中有利于步进电机的广泛应用。

参考文献

[1]潘松,黄继业.EDA技术实用教程[M].北京:科学出版社,2002.

[2]杨渝钦.控制电机[M].2版.北京:机械工业出版社,1999.

[3]朱明程.可编程逻辑系统的VHDL设计技术[M].南京:东南大学出版社,1998.

[4]任晓东,文博.CPLD/FPGA 高级应用开发指南[M].北京:电子工业出版社,2003.

[5]曾繁泰,陈美金.VHDL程序设计[M].北京:清华大学出版社,2001.

[6]马宏伟.高性能步进电机控制系统的研制[M].西安:西安科技大学出版社,2004.

[7]卢毅,赖杰.VHDL与数字电路设计[M].北京:科学出版社,2001.

[8]朱正伟.EDA技术及应用[M].北京:清华大学出版社,2005.

[9]刘宝廷,程树康.步进电动机及其驱动控制系统[M].哈尔滨:哈尔滨工业大学出版社,1997.

[10]宋锦河.步进电机控制系统的快速实现[J].鄂州大学学报,2004(4):5-8.

作者简介

潘 涛 男,1984年出生,武汉科技大学信息学院在读硕士研究生。现从事模式识别与智能系统的研究。

电机控制器范文第9篇

【关键词】步进电机;细分驱动;多模式;数据存储

1.引言

步进电机驱动器是步进电机控制系统的核心,步进电机能否更好的应用,很大程度上取决于步进电机驱动器的水平。伴随着步进电机的产生,步进电机驱动器就一直在不断发展和进步,目前国内外步进电机驱动器种类很多,但是大部分功能比较单一,很多是针对固定的步进电机或者固定的应用领域,而且价格一般较高,很多不带细分功能,很难满足现实生活中需要灵活应用且成本较低的场合。

本设计的目的就是解决现实生活中需要灵活应用和低成本的问题,并且是使用应用最广泛的混合式步进电机而制作的步进电机控制器,步进电机采用三洋公司的2-4相两用混和式步进电机。系统可以实现正反转控制,多模式选择,圈数、速度设定和存储,速度调节范围宽,低速自动细分,输出转矩大且可调,各种数据的液晶显示,过热和掉电保护等功能。

2.设计分析与方案的确定

2.1 设计方案

方案1:细分完全靠软件实现,驱动电路采用三极管和A/D转换芯片;脉冲用单片机的定时器产生;显示采用数码管;存储采用单片机内部的特殊存储单元。这种方法侧重于软件设计,当脉冲太快时,定时器中断就会和细分程序产生冲突,造成程序的混乱;存储的数据容易丢失;显示内容比较单一;控制的实时性不易保证,调试也比较烦琐,可靠性较低;而且效率较低,大部分能量消耗在三极管得发热上。

方案2:驱动电路采用东芝公司最新推出的步进电机驱动芯片TB6560AHQ,它内部集成双全桥MOSFET驱动;最高耐压40V,单相输出最大电流3.5A(峰值);具有整步、1/2、1/8、1/16细分方式;内置温度保护芯片,温度大于150℃时自动断开所有输出;具有过流保护;配合单片机可以实现自动细分、电流和力矩自动控制、过流和温度过高自动保护等功能。掉电存储电路采用Atmel公司的AT24C04(EEPROM);显示电路采用1602液晶显示模块;输入设备采用4*4矩阵键盘。

2.2 方案对比及确定

用分立元件做的驱动电路比较复杂,调试繁琐,如果设计的电路稍有瑕疵,就会造成故障率急剧上升,效率较低,很大一部分能量浪费在驱动电路上,而且很难实现细分和正弦波电流驱动。集成芯片驱动方式具有电路简单,调试容易,稳定性高,效率高,体积小,功能齐全等优点,能做到自动细分和正弦波电流驱动,但是功率一般不能做的很大。

当速度增大时单片机定时器中断较快,因此细分不能全部用软件实现,若使用三极管和A/D转换芯片时必须大量依靠软件实现细分;圈数和速度必须牢靠的存储起来,方便应用,因此必须使用专业的掉电存储芯片;使用中必须实时显示速度和圈数,因此应该选用能显示多个数据的1602液晶显示模块;使用中对稳定性和实用性有很高的要求,使用集成芯片电路简单、功能强大,可以把单片机大量的资源用在其他地方,不仅增加了系统的整体稳定性和实用性,而且还能增加许多其他功能,使系统的实用性更强。

所以综合以上情况考虑,选用方案2来设计步进电机智能控制器。总体设计框图如图1。

3.主要模块设计

3.1 驱动模块

3.1.1 TB6560AHQ简介

TB6560AHQ是东芝公司最新推出的步进电机驱动芯片,通过采用BICD工艺将低电阻与高许可损耗封装相结合,使其与其它同类产品相比能够极大减少热量的产生,还能支持使用时钟输入控制的无微控制器应用环境下的微步驱动。自动产生纯正的正弦波控制电流,与其它高集成度步进电机控制芯片相比,在相同高转速下力矩不但不会下降,反而有所增加;支持各种步进电机选型。

TB6560AHQ的主要特点有:

内部集成双全桥MOSFET驱动;

最高耐压40V,单相输出最大电流3.5A(峰值);

具有整步、1/2、1/8、1/16细分方式;

内置温度保护芯片,温度大于150℃时自动断开所有输出;

具有过流保护。

3.1.2 硬件电路的设计及驱动原理

驱动芯片硬件电路如图2。

工作原理如下:M1(22)和M2(23)引脚通过单片机的程序控制细分,共有2、8、16三种细分模式,CW/CCW(21)引脚控制电机的正反转,当需要正转时单片机P1.2输出高电平,需要反转时输出低电平;PROTECT(19)引脚是芯片的保护输出端,当芯片正常工作时由于上拉电阻的作用,单片机P1.3口采集到高电平,当芯片过热保护时,把单片机P1.3口拉低,此时可令程序断开所有输出,从而保护芯片;MO(17)引脚是芯片初始化引脚,芯片初始化结束后会输出低电平,通过这个引脚单片机可以查询芯片初始化是否结束。

TQ2(1)和TQ1(2)控制驱动芯片的输出电流,通过这两个端的选择可以选择不同的工作电流,具体选择模式如表1。可以通过J1和J2跳线选择最大电流的100%、75%、50%和25%。改变电机的驱动电流也就改变了电机力矩的大小。

DCY2(24)和DCY1(25)是电流衰减模式控制端,通过这两个端的选择可以选择不同的衰减模式,具体模式如表2。可以通过J3和J4跳线选择0、25%、50%和100%四种衰减模式。

由于电机本身状况、供电电源状况及脉冲频率等其他因素的影响,步进电机可能会产生高频噪声,通过选择不同的电流衰减模式可以很好的降低甚至消除这种噪声。

OSC(7)引脚是斩波频率控制端,所接电容的大小可以控制斩波频率的大小。当所驱动的步进电机固定后,电容值也随之确定。

当单片机上电后,在初始化程序中对芯片进行复位(把RESET(5)拉低,然后再置高电平),当检测到M0(17)出现低电平时,表示芯片已经初始化。然后根据按键输入或者24C04存储的信息输出脉冲,芯片在脉冲的作用下产生正弦波驱动电流,驱动步进电机运转。在芯片运行期间,保持ENABLE(4)引脚为高电平;当按下停止键或者PROTECT(19)引脚出现低电平时,保持ENABLE(4)引脚为低电平,断开所有输出。

3.1.3 软件程序流程

驱动芯片的控制程序采用C语言进行程序设计,便于主程序的调用,程序流程如下:

⑴初始化TB6560AHQ;

⑵根据速度的大小定义芯片细分管脚;

⑶发送脉冲和正反转信号;

⑷监控芯片保护端,当温度过高时自动断开所有输出。

驱动芯片的细分程序流程图如图3所示。

驱动芯片TB6560AHQ自带2、8、16三种细分模式,单片机通过M1(22)和M2(23)两个引脚可以实现对细分的控制。在程序设计中定义了一个细分标志位t2,当执行完上述程序后t2会自动的被覆上细分值,在圈数程序处理单元,把t2自动的乘上,因此就能实现在细分情况下,速度和圈数的准确对应。

3.2 掉电存储模块

3.2.1 硬件电路设计

掉电存储模块采用ATMEL公司生产的AT24C04芯片,它的容量是512字节×8位,既4k位,对于本系统来说已经足够了。电路的连接如图4所示。

电路中的SDA接单片机的P3.0口,SCL接单片机的P3.1口,由单片机模拟I2C的工作与存储芯片进行通信;上拉电阻如4图所示,选用5.1k的普通电阻。

3.2.2 软件程序设计

3.3 显示模块

3.3.1 硬件电路的选择与设计

显示电路使用RT1602C显示模块,其接线图如图5。

3.3.2 显示设置

本设计中,0—04h显示"MODE";06h—0Bh显示"SPEED:";0Ch—0Eh显示三位速度值;然后第二行和第一行对应显示模式、圈数。

设计中采用P2口作为数据口;采用P3.5、P3.6、P3.7三个接口作为控制接口,通过设置电平高低控制1602的工作状态。

3.4 单片机最小系统模块

3.4.1 硬件电路中晶振选择

单片机的电路选择:因为步进电机运行时的速度靠定时器的定时中断产生,因此中断频率要求高一些,所以晶振选用24MHZ。电容选用30PF的瓷片电容。

3.4.2 定时器初值计算

根据所用步进电机(三洋公司的2-4相两用混和式步进电机)的资料进行分析和现场试验得出:当用四相四拍方式运行时,每个脉冲周期走过1.8°,也就是200个脉冲周期走一圈;当用两相两拍(本设计所选驱动芯片驱动方式)时,每个脉冲周期走过0.9°,也就是400个脉冲周期走一圈。当使用细分驱动时,脉冲数还要乘上细分数。

因此可以得出公式如下:

S=M/(400*N) (1)

式中:S—速度,单位:圈数/分;M—定时器每分钟产生的脉冲数;N—细分数,有2、8、16三种。

脉冲的周期:

P=400*N*S/60*1000  (2)

式中:P—脉冲的周期,单位:毫秒;定时器定时时间:T=P/2。

根据公式(1)和公式(2)可以得出:

T=10000*N*S/3 (3)

根据定时器计算公式:

T=(65536-T0初值)*振荡周期*12 (4)

式中:T0—定时器初值;振荡周期—1/24MHZ。

根据公式(3)和公式(4)可以得出:

T0初值=65536-20000*N*S/3 (5)

当S=100转/分,T=2.5ms;当S=200转/分,T=1.5ms。

根据定时器计算软件,根据T可以得出对应的定时器T0初值。因此把T0初值和S带入公式(4),并经过修正,可以得出:

T0=65536-150000/S+630 (6)

这样就可以根据速度自动求出定时器初值:

TH1=(65536-150000/S+630)/256;

TL1=(65536-150000/S+630)%256。

3.4.3 圈数计算

由3.4.2的介绍可以得出每400个脉冲周期走一圈。当使用细分驱动时,脉冲数还要乘上细分数。可以在程序中设置一个标志位,标志位初值为0,每产生一个定时器中断,标志位就自动加1,当标志位的值和400*N(N:细分数)的值相等时,就表示走过了一圈,此时圈数自动减1或者加1,标志位自动清零。

3.5 按键模块

使用4*4矩阵键盘作为输入设备,[4]硬件电路如图6,可以很方便的输入各种数据和执行各种操作,方便用户使用。

其中数字键10个,用来输入圈数和速度值、模式选择键1个,用来选择模式(共有2种模式:模式1和模式2。模式1能进行存储;模式2不能存储)、正转键1个,按下后电机正转、反转键1个,按下后电机反转、停止键1个,按下电机停止转动、确定键1个,用来把输入的圈数和速度值写进程序的相应位置、调整键1个,按下后进行速度和圈数的设定。

由于按键较多且各自都具有自己独立的功能,在联合调试时经常出现按键混乱的现象,因此解决的办法是在程序中增加按键判断标志位,当进行设置速度、圈数时用对应标志位屏蔽正、反转按键,当电机运行时用标志位屏蔽设置按键的操作。

4.主程序设计

主程序模块中包含了系统初始化、速度和圈数采集、定时器初值计算、按键的判断、驱动芯片控制、以及各个模块的调用等。其中定时器用的是单片机16位的定时器3。主程序流程图如图7所示。

5.联合调试

5.1 细分的实现

步进电机细分技术是步进电机控制器的重要性能指标,细分可以有效降低步进电机运行时的震动和噪音,特别是低速状态下。

但是,当电机需要高速运转时,过多的细分会限制速度的提高,而且,在电机高速运转的时候细分的效果已经不太明显,因此,什么状态下细分、细分数的多少是细分驱动技术的关键点。本设计通过软、硬件联合实现细分驱设置细分数。因此可以达到很好的驱动效果。以八细分为例,通过细分可以很明显观察到细分后电流变化的效果,本来每个脉冲对应的是一个方波的输出,现在经过8细分后每个脉冲只能达到原来的1/8,这样电机的步进角就变为原来的1/8,而且根据速度的大小程序会自动的精度就提高为原来的8倍。这样就很好的解决了电机在低速时的震动和精度问题。本设计采用软硬件联合实现细分实现容易、可靠性高,具有很好的应用价值。

5.2 正弦波驱动电流的实现

传统的控制器由于种种原因大部分采用方波驱动,方波驱动实现容易。但是噪音和振动很大,而且不可能使转速做的很高,输出力矩也较小。正弦波驱动可以显著提高步进电机的运行性能,可以增加电机的转矩,可以提高空载转速,可以降低电机运行时的震动和噪音,因此是新型控制器的首选方案。

5.3 加速曲线的设计

步进电机在启动的时候很重要的一个性能指标就是空载启动频率,在现实应用中,特别是需要高速运动的场合,直接启动频率更是决定了步进电机控制器的应用领域。提高电机启动频率的方法基本上有两种:使用更好电流驱动波形和做一个加速曲线。本设计所用的电机在使用方波电流驱动和没有加速曲线的情况下直接启动的速度最高为200转/分。本设计采用TB6560AQH驱动芯片,它内部自带正弦波电流驱动波形,能很好的提高步进电机的启动频率,但是经过多次的实验得出也只能提高到300转/分左右,还是差强人意。

最终使用阶跃式加速,速度一阶一阶递进式加速,这种方法易于实现,占用单片机资源较少,但是用这种方法时如果阶跃得速度过大,电机会出现震动和噪声。

但是为了不使电机出现震动和噪音,本设计在单片机资源允许的前提下使速度阶跃尽可能的小。具体的做法是:单片机上电工作后首先对速度进行比较,以200转/分为基准,如果速度小于200转/分则完全可以直接启动,不需要加速曲线;当速度高于200转/分时,首先把速度差求出来,让定时器首先以200转/分的速度产生脉冲,在定时器中断函数中根据速度差把速速逐渐提高,直到速度差为零。具体的流程图如图8。

5.4 本设计达到的主要性能指标

⑴运行速度精度:可以使速度精度达到96%以上(速度精度=实际速度/设定速度*100%)。

⑵最大空载起动频率:可达到的最大空载启动频率为1.5KHZ。

⑶最大空载的运行频率:最大空载运行频率3KHZ。

⑷运行矩频特性:本设计由于没有专业的转矩测试仪器,因此无法给出准确的转矩数值,转矩变化时的频率为800HZ。

6.结论

步进电机是一种通过电脉冲信号控制相绕组电流实现定角转动的机电元件,与其他类型电机相比具有易于开环精确控制、无积累误差等优点,在众多领域中获得了广泛的应用。国内研究步进电机驱动器的科研单位和公司很多,但是功能大多单一化,很多都是只能驱动固定用途的步进电机,且多不带细分,价格较贵。很难满足社会生活中需要灵活运用、低成本且功能要求较全的场合。

本设计是根据现实生活中常用的步进电机和常用功能设计的驱动器,设计的主要目的是解决步进电机驱动器功能单一和生产成本的问题。

参考文献

[1]杜坤梅等.电机控制技术[M].哈尔滨:哈尔滨工业大学出版社,2002年2月

[2]徐大诚等.微型计算机控制技术及应用[M].北京:高等教育出版社,2003年5月

[3]沙占友等.单片机电路设计[M].北京:电子工业出版社,2006年6月

[4]余永全等.单片机应用系统的功率接口技术[M].北京:北京航空航天大学出版社,2004年9月

[5]葛宝明等.先进控制理论及其应用[M].北京:机械工业出版社,2007年6月

电机控制器范文第10篇

关键词:伺服电机;单片机;LCD

中图分类号:TM33 文献标识码:A 文章编号:1007-9416(2017)02-0193-01

1 引言

随着科学技术的发展和自动化技术水平的不断提高,自动化机器人已经成为生产中不可缺少的一部分。机器人的使用帮助人们摆脱了繁重劳动或简单的重复性劳动,并且减少人类在危险环境中进行作业。为了保证工业生产过程中的高精度,逐步使用由伺服电机制造的机器人来代替普通电机制造的机器人。这样就使得伺服电机得到了普遍的应用。这里介绍一种简单的伺服电机控制器,可以对伺服电机进行简单的控制。主要用来测试和维修伺服电机。

2 系统结构及原理

控制系统主要由单片机控制系统、液晶显示模块,键盘输入模块、脉冲驱动电路、和485通讯总线来构成。如图1所示。

2.1 单片机最小系统

单片机最小系统由4部分组成:复位电路、时钟电路、电源电路、程序烧制接口电路。单片机的上电复位电路由一个10uF的电容和一个4.7K左右的电阻组成,复位电路的作用是使单片机回复到初始状态来工作。由于C8051F700单片机有内部时钟电路,所以本设计中不需要设计时钟电路。稳定的电源是工作的可靠保证,在单片机5V电源供电系统中不仅有7805稳压芯片,而且在单片机端增加了400uF的电解电容用来稳压、0.1uF的涤纶电容用来去除干扰。C8051F700单片机使用的是JTAG接口烧录程序。

2.2 驱动电路设计

在单片机的所有干扰中,共地干扰是影响单片机所有工作的主要因素。为了能够去掉单片机中的共地带来的干扰,需要把单片机的不同回路做成不同的地,这样可以避免单片机控制回路和伺服电机驱动回路进行互相干扰。这里采用的是光耦器件对地进行分离,通过光来进行互相控制和反馈。正常情况下干扰是没有足够的电流导致发光二极管发光,所以干扰就被抑制掉了。由于光耦的抗干扰能力强,使用寿命长,传输效率高、实现了输入输出的完全隔离,实现了对设备很好的保护。这里和伺服电机的驱动接口电路采用高速光耦6N137作为驱动电路。该光耦隔离性好,而且能达到伺服电机要求的高速特性。

2.3 输入输出电路设计

随着液晶显示技术的不断发展和价格的不断降低,在仪器仪表的设计使用过程中LED数码管显示已经逐步被LCD液晶显示所代替,LCD具有显示信息量大,功耗更低,质量轻等优点。这里选用了12864LCD作为显示输出,驱动芯片是ST7920。单片机的按键设计主要有2种方式:直接按键方式和矩阵键盘方式。直接按键方式是把每个按键都单独接到单片机的一个I/O接口上,矩阵键盘是通过I/O口的行列变化来判断,可以用少口实现多键盘。本系统需要的按键数量比较少,同时按键可以做成功能按键。所以这里采用了直接按键方式。

在设计的同时预留了通讯用485接口,如果有需要将来可以增加扩展模块,通过通讯口可以和上位机进行通讯。

3 系统软件设计

在单片机的软件设计中,KEIL作为单片机的软件开发工具,提供了C语言环境,并且代码效率高,执行速度快。Keil编程环境包含:编译器、汇编器、实时操作系开发环境。软件编写的功能模块主要有:12864驱动模块、界面菜单显示功能模块、按键模块。各个软件模块编写完成后,再编写整个伺服电机的控制过程。本系统软件编写过程中是先完成了LCD液晶显示的工作,完成液晶显示的工作后,编写了按键程序同时进行了调试。在编写完键盘显示程序后,编写控制输入输出程序,输出接口,主要是对伺服电机的使能、左、右限位复位和脉冲输出、方向输出进行编写,同时通过光耦读取伺服电机的报警信号。将伺服电机当前的状态、输出脉冲数量、是否有报警等等相关参数在LCD上进行显示。

4 结语

本系统主要由C8051F700单片机、LCD液晶显示,使用4个按键对伺服电机进行控制,伺服驱动回路由9个光耦电路组成。通过测试能够简单的控制伺服电机的启动停止,调速等功能。系统硬件电路O计简单、单片机软件能够完成控制功能、控制系统可靠、具有实用价值和参考价值。

参考文献

[1]何立民.单片机应用系统设计[M].北京:北京航空航天大学出版社,1990.

[2]颜嘉男.伺服电机应用技术[M].北京:科学出版社,2010.

上一篇:温湿度控制器范文 下一篇:太阳能控制器范文