EDA技术在商场电梯中的应用

时间:2022-10-17 09:48:16

EDA技术在商场电梯中的应用

[摘要] 本文介绍了一种基于EDA技术电梯控制器的设计。通过对系统进行结构分析,采用层次化的设计方法,给出了控制器的VHDL程序,利用MAXPLUS II对其程序进行了仿真,并给出了仿真结果。

[关键词] VHDL 商场 控制器 EDA

随着微电子技术和半导体工业的不断创新和发展,集成电路的集成度和生产工艺技术水平不断提高,使得在一个半导体芯片上完成系统级的集成已成为可能。现代数字技术已进入电子设计自动化EDA时代,大大改变了现代电子系统设计的理念,极大的提高了大规模系统电子设计的自动化程度。VHDL(即超高速集成电路硬件描述语言)是随着可编程逻辑器件(PLD)发展起来的一种硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口,是电子设计自动化(EDA)的关键技术之一。它采用一种自上而下的设计方法,即从系统总体要求出发进行设计。本文介绍了以Altera公司可编程逻辑器件为控制核心,采用VHDL语言设计电梯控制器的方法,并进行了仿真。

一、电梯控制器的功能和运行规则

设计一个5层楼的商场电梯控制器,该控制器可控制电梯完成6层楼的载客服务而且遵循方向优先原则,并能响应提前关门和延时关门,并具有超载报警和故障报警;同时指示电梯运行情况和电梯内外的请求信息。

电梯控制器功能:

1.完成5个楼层的多用户载客服务控制。

2.电梯内设有乘客到达层数的停站请求开关。

3.每层电梯外设有上下请求开关,显示乘客的上升和下降请求。

4.电梯运行时,楼内外同时显示电梯的运行状态和所在的楼层。

5.当电梯到达选择的楼层时,经过1s电梯门打开,开门指示灯亮,开门3s后,电梯关闭,指示灯灭,电梯继续运行,直至执行完最后一个请求信号停在1层。

6.能记忆电梯外的所有请求信号,按照电梯运行规则次序响应,每个请求信号保留至执行后消除。

7.响应电梯外的有效请求,到达请求的楼层,电梯自动开门。

电梯运行规则:

(1)电梯处于上升状态时,响应上升请求的楼层大于电梯的所在层。

(2)电梯处于下降状态时,响应有下降请求的楼层小于电梯的所在层。

(3)执行完上升状态时,若更高层有下楼请求,则直接开到下楼请求的最高层接客,然后进入下降模式。

(4)先执行完所有的上楼请求再执行下楼请求,抑或先执行完所有的下楼请求再执行上楼请求。

二、电梯控制器的设计方案

电梯控制器系统模块如图1所示,该系统包括外部数据采集模块、信号存储模块、中央处理模块、显示模块。外部数据采集模块通过按键输入用户请求信号,光敏传感器采集到达楼层信号,压力传感器采集关门中断和超载信号。信号存贮模块存储电梯内外及各层用户请求信号。中央处理模块处理电梯运行中的各种状态,在电梯运行过程中,对信号存储模块的用户请求数据进行比对,从而确定电梯是否停止。显示模块主要显示电梯电梯内外用户的上升或是下降请求,并显示电梯的当前运动状态。

电梯控制器的实体程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity dianti5 is

port ( clk : in std_logic;――时钟信号(频率为1Hz)

full,deng,quick,clr : in std_logic; ――超载、关门中断、提前关门清除报警信号

c_u1,c_u2,c_u3,c_u4: in std_logic;――电梯外人的上升请求信号

c_d2,c_d3,c_d4,c_d5 : in std_logic;――电梯外人的下降请求信号

d1,d2,d3,d4,d5 : in std_logic; ――电梯内人的请求信号

g1,g2,g3,g4,g5 : in std_logic;――到达楼层信号

door : out std_logic_vector(1 downto 0);――电梯门控制信号

led : out std_logic_vector(6 downto 0);――电梯所在楼层显示

led_c_u:out std_logic_vector(4 downto 0); ――电梯外人上升请求信号显示

led_c_d:out std_logic_vector(4 downto 0); ――电梯外人下降请求信号显示

led_d : out std_logic_vector(4 downto 0);――电梯内请求信号显示

wa : out std_logic;――看门狗报警信号

ud,alarm : out std_logic;――电梯运动方向显示,超载警告信号

up,down : out std_logic ); ――电机控制信号和电梯运动

end dianti5;

结构体中首先对电梯中的故障信号和超载信号进行处理。如果压力传感器连续采集到3次关门中断信号,则启动电梯故障信号,除非人为对故障进行清除,否则电梯将保持开门状态并不进行任何操作。如果压力传感器采集到电梯超载,电梯将报警,并保持开门状态不进行任何操作,直到压力传感器采集到电梯不再超载。电梯打开后,如果用户不进行任何操作,电梯3秒后将自动关门,如果用户按提前关门键,电梯将立即响应关门指令。

中央处理模块对电梯内及电梯外用户的上升和下降请求进行处理,处理结果并置后存入信号存储模块。电梯在运行过程中,每到达一层将通过中央处理模块,对信号存储模块的存储指令进行比对,以判断是否需要停止,并通过光敏采集到的信号来判断电梯所到达的楼层,并通过显示模块进行显示。

三、电梯控制器的仿真波形

控制器由MAXPLUS II进行仿真,仿真结果如图2,电梯初始状态在1楼,当4层有外部请求上升信号时,电梯启动,到达4层后停止,待乘客进入电梯后,电梯运行到5楼。5楼进入到电梯超载,电梯报警,电梯保持开门状态不变,压力传感器检测到电梯不再超载后,报警解除,电梯转为下降状态,响应4层外部请求下降信号。

四、总结

本文通过VHDL语言对电梯控制器进行了设计,并进行了仿真,通过本设计可以看到EDA的发展给了工程设计人员更多的选择,使电路从硬件设计转变为软件设计,提高了设计的灵活性,降低了电路的复杂度,并可以随意的进行更改。

参考文献:

[1]杨晖张凤言:大规模可编程逻辑器件和数字系统设计.北京航空航天大学出版社,1998

[2]赵雅兴:FPGA原理、设计与应用.天津大学出版,1999

[3]潘松黄继业:EDA技术实用教程.科学技术出版社,2005

[4]黄仁欣:EDA技术实用教程.清华大学出版社,2006

注:本文中所涉及到的图表、注解、公式等内容请以PDF格式阅读原文。

上一篇:当前我国贸易顺差的特点及原因分析 下一篇:基于利益一致性框架的赠品促销策略研究