基于FPGA的CRC32校验查找表算法的设计

时间:2022-09-08 10:42:29

【前言】基于FPGA的CRC32校验查找表算法的设计由文秘帮小编整理而成,但愿对你的学习工作带来帮助。1 CRC32生成查找表和CRC32数据校验 CRC32校验查找表算法采用正规查询表查询,该查询表是将“直接查询表”的索引值和表值直接镜像,正规查找表多项式POLY是0x04C11DB7。生成查找表的流程是首先对索引值0到0xFF数值镜像。以索引值1为例,镜像值为0x80,已对该8bit镜像值...

基于FPGA的CRC32校验查找表算法的设计

摘 要:循环冗余码校验CRC广泛应用于数字通信和数据存储的数据检错。CRC校验分为串行方式校验、并行方式校验。串行方式校验采用串行移位寄存器,每1个clk计算1bit,处理速度慢。并行方式采用查找表算法,处理速度快。本文介绍了CRC32校验算法的fpga实现方法,并通过Modelsim仿真,验证了算法的可行性和正确性。

关键词:循环冗余校验码;crc32;FPGA

DOI:10.16640/ki.37-1222/t.2016.10.188

0 引言

循环冗余校验码CRC是一种实现简单、校验能力强、在串行通信领域广泛采用的校验编码[1]。CRC校验的基本思想是利用线性编码理论,在发送端,对要传送的k位二进制码序列,以一定的规则产生一个校验用的监督码(即CRC码)r位,附在信息后边,构成了一个新的二进制码序列数共(k+r)位,然后发送出去[2]。在接收端,则根据信息码和CRC码之间所遵循的规则进行校验,以确定传送中是否出错。

1 CRC32生成查找表和CRC32数据校验

CRC32校验查找表算法采用正规查询表查询,该查询表是将“直接查询表”的索引值和表值直接镜像,正规查找表多项式POLY是0x04C11DB7。生成查找表的流程是首先对索引值0到0xFF数值镜像。以索引值1为例,镜像值为0x80,已对该8bit镜像值0x80左移24位变成32位CRC32值。对该值一次左移1位,移出的值若为1,则对移后的CRC32值与POLY进行异或操作得到新的CRC32值,否则不做运算。进行8次左移运算,最后的CRC32值在做镜像后的值即为索引值1的CRC32查找表值,按照该算法进行256次操作,可得到256个CRC32查找表值。

得到查找表后,则对数据进行CRC校验,其校验步骤是将待校验数据与32位寄存器的低8位做XOR运算得到一个指向查询表的索引值,将所将索引所指的表值与寄存器的高24位做XOR运算,得到的值更新到32位寄存器中,同时该值也为校验过的值,如数据没有全部处理完,则跳到开始进行运算。

2 FPGA硬件实现

FPGA实现CRC32校验时,一种方法是校验之前将CRC32生成的查找表值直接存入ROM中,按照索引值去取表内值。第二种方法是在校验前根据算法生成CRC32的查找表值,依次存入RAM中,生成查找表之后再按照索引值去取表内值。本文是按照第二种方式进行校验。这种方式的优点是灵活、易于操作。FPGA实现的流程图如图1所示,待校验数据Din与CRC32低8位异或的值产生查找表的索引值,由于查找表是RAM存储器,为了数据输出稳定,输出寄存一拍,从RAM出来的值会有两拍延迟。Din数据寄存一拍,CRC32数据输出寄存一拍,共有4拍数据延迟,所以CRC32会比串行校验提高8倍时钟周期。

生成查找表值代码段如下,其中tab_cnt_next一个周期的高电平将tab_cnt_reflect镜像值更新到crc32_table,ulPolynomial是校验多项式值32'h04C11DB7,循环256次,并将256个crc32_table值镜像之后存入RAM中。

if(tab_cnt_next == 1'b1)

crc32_table

else if(crc32_table[31] == 1'b1)

crc32_table

else crc32_table

对Din数据进行校验的主要代码如下,dat_valid是一个周期的高电平,crc_value初始值为0,tab_index是RAM的读地址,两拍后从RAM读的值rd_ram_data和crc_value高24位异或更新到新的crc_value值。

if(dat_valid == 1'b1)

tab_index

else if(dat_valid_2d == 1'b1)

crc_value

3 CRC32的仿真验证

CRC32生成查找表要循环256次完成查找表所有值的遍历,其用Modelsim仿真如图2所示,crc32_table的镜像值crc32_table_reflect即为查找表的值,图2中显示的32’h0、32’h 77073096、32’hEE0E612C、32’h 990951BA即为CRC32正规查询表值0到3的值。选取待校验值0xAA,0x44,0x13其CRC32校验值crc_value如图3所示,最后一个值0xc4441369即为校验值,经验证正确。

4 结束语

本文介绍了CRC32校验算法的FPGA实现方法,详细介绍了CRC32查找表的生成、CRC32的数据校验,并列出了FPGA实现的verilog代码和仿真时序图。经过验证可以证明此方法的可行性,并提高了CRC32校验的速率。

参考文献:

[1]叶懋,刘宇红,刘桥.CRC码的FPGA实现[J].重庆工学院学报(自然科学版),2007(03).

[2]莫元劲,黄水永.并行CRC在FPGA上的实现[J].电子设计工程,2011(15).

上一篇:材料作文“棋如人生”写作指导 下一篇:绿色切削加工技术的应用及其发展