VHDL语言在数字电路教学中的应用

时间:2022-08-25 09:27:19

VHDL语言在数字电路教学中的应用

摘要:VHDL作为一种新型的硬件描述语言,主要用于数字电路与系统的描述、模拟和自动设计,是当今电子设计自动化(EDA)的核心技术。文章通过十六位计数器的实例介绍了用VHDL语言设计数字系统的流程和方法,并通过仿真实现预定目的。实践证明,VHDL语言在数字系统设计中具有硬件描述能力强,设计方法灵活等优点,从而降低了数字系统设计的难度,提高了工作效率。

关键词:VHDL;MAX+PLUSⅡ;仿真;数字电路

中图分类号:TP331文献标识码:A文章编号:1009-3044(2008)21-30573-02

Application of VHDL in Digital Circuit Teaching

WU Xi-qin

(School of Computer and Information,Hefei University of Technology,Hefei 230009,China)

Abstract:VHDL,as a new type of hardware description language,is used to describe ,stimulate and automatically design digital system.Nowdays, it becomes a key technology in electronic design automatic(EDA).The method and process using VHDL to design digital system is presented through an example of modulo-16 counter .The anticipative target is achieved through stimulation.The result shows that VHDL is strong in hardware description and flexible in design method.It could reduce the design difficulty of digital system and improve efficiency.

Key words:VHDL;MAX+PLUSⅡ;stimulation;digital circuit

1 引言

随着电子技术的发展,数字系统的设计正朝着高速度、大容量、小体积的方向发展,用传统的自底而上的设计方法已不能满足要求,迫切需要提高设计效率。VHDL语言是一种对数字电路和数字系统进行性能描述和模拟的语言,是美国国防部在20世纪70年展的电路设计工具,并于1987年成为IEEE的一种标准语言。VHDL是一种面向设计的多领域、多层次IEEE标准硬件描述语言,是目前十分流行的硬件描述工具,并且被大多数EDA工具支持。

2 VHDL优点

1)功能强大,描述力强。可用于门级、电路级甚至系统级的描述、仿真和设计。

2)可移植性好。对于设计和仿真工具采用相同的描述,对于不同的平台也采用相同的描述。

3)研制周期短,成本低。这主要是由于VHDL支持大规模设计的分解和对已有设计的利用,因此加快了设计流程。

4)可以延长设计的生命周期。因为VHDL的硬件描述与工艺技术无关,不会因工艺变化而使描述过时。

5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

3 VHDL的设计流程

VHDL在设计过程中,采用自顶向下的方法,首先从系统设计入手,在顶层进行功能方框图的划分,然后对各模块进行设计并仿真,再进一步综合进行门级仿真,如果没有错误即可下载,最后实现电路,用VHDL设计数字系统的流程如下:

输入源程序编译源程序仿真综合门级仿真物理设计时序仿真

VHDL语言已日益成为一种通用的硬件描述语言,计算机辅助工程软件的供应商已把VHDL作为其CAD或EDA软件输入与输出的标准,其中ALTEKA公司提供了一套十分有特色的综合工具MAX+PLUSⅡ,它提供了全面的逻辑设计能力,从编辑、综合、布线到仿真、下载一气呵成,十分方便。

4 VHDL设计实例及仿真结果

4.1 设计方案

计数器根据时钟信号的作用分为同步计数器和异步计数器。同步计数器是指构成计数器的各触发器状态在同一时钟信号的控制下同时发生变化。下面设计一个具有异步清零功能的四位二进制同步可逆计数器。该计数器有一个上升沿有效的时钟输入端CLK;一个异步清零端CR(CR低有效);一个计数方向控制器UPDOWN(UPDOWN=1时,进行加法运算;UPDOWN=0时,进行减法运算);一个四位数据输出端COUNT;一个进位输出端CO。

4.2VHDL语言设计的源程序如下:

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITY cnt4b IS

PORT(CLK:IN STD_LOGIC;

CR:IN STD_LOGIC;

UPDOWN:IN STD_LOGIC;

CO: OUT STD_LOGIC;

COUNT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END cnt4b;

ARCHITECTURE behave OF cnt4b IS

SIGNAL CQI : STD_LOGIC_VECTOR(3 DOWNTO 0);

BEGIN

CQI

PROCESS(CLK,CR)

BEGIN

IF (CR='0')THENCQI

ELSIF (CLK'EVENT AND CLK = '1')THEN

IF (UPDOWN='1')THEN

IF (CQI>"1111")THENCQI

ELSE

CQI

END IF;

IF (CQI="1111") THEN

CO

ELSE

CO

END IF;

ELSE

IF (CQI

CQI

ELSE

CQI

END IF;

IF (CQI="0000") THEN CO

ELSECO

END IF;

END IF;

END IF;

COUNT

END PROCESS;

END behave;

在程序输入完成后,经MAX+PLUSⅡ中的Compiler编译通过后,可用Stimulator进行仿真。

4.3 仿真结果

仿真结果说明:1)CR为0使计数从0开始;

2)UPDOWN为0时,在每个时钟上升沿使计数器减1;

3)UPDOWN为1时,在每个时钟上升沿使计数器加1;(下转第576页)

(上接第574页)

4)CO滞后于COUNT一个时钟。

5 结束语

VHDL语言具有与硬件无关和与设计平台无关的特性,并具有很强的电路描述和建模能力。目前,VHDL已成为电子设计自动化领域进行自上向下设计的应用方向,是专用数字集成电路设计描述的有力工具,同时也是逻辑综合和优化的重要基础,作为一种重要的高层设计技术,VHDL已成为当代电子设计者们必须掌握的重要工具。

参考文献:

[1] 程云长.可编程逻辑器件与VHDL语言[M].北京:科学出版社,2002.

[2] 高书莉.可编程逻辑设计技术与应用[M].北京:人民邮电出版社,2001.

上一篇:Photoshop应用中常见问题及解决方法 下一篇:评价软件测试的有效性